Advertisement
redsees

Untitled

Nov 22nd, 2013
92
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. ya3ny maslan law fih AND gate ,hate3melo kda :
  2. entity AND_GATE is
  3. port (A,B : in std_vector(4 downto 0 );
  4. Z: out std_vector(4 downto 0););
  5. end AND_GATE ;
  6.  
  7. architecture behavior of AND_GATE is
  8.  
  9. BEGIN
  10. Z(0) <= A(0) AND B(0);
  11. Z(1) <= A(1) AND B(1);
  12. Z(2) <= A(2) AND B(2);
  13. Z(3) <= A(3) AND B(3);
  14. end behavior;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement