Advertisement
redsees

Untitled

Nov 16th, 2013
105
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.  
  2. library IEEE;
  3. use IEEE.STD_LOGIC_1164.ALL;
  4. use IEEE.STD_LOGIC_ARITH.ALL;
  5. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  6.  
  7. entity MUX_2_1 is
  8. Port ( a,b,S : in std_logic;
  9. O : out std_logic;
  10. end MUX_2_1;
  11.  
  12. architecture Behavioral of MUX_2_1 is
  13. begin
  14. if (S='0') then
  15.     O <= a;
  16. else
  17.     O <= b;
  18. end if;
  19.  
  20. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement