Advertisement
redsees

Untitled

Nov 16th, 2013
103
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4.  
  5. entity MUX_2_1 is
  6. port(
  7. a: in std_logic;
  8. b: in std_logic;
  9. S: in std_logic;
  10. O: out std_logic;
  11. );
  12. end MUX_2_1;
  13.  
  14. architecture Behavioral of MUX_2_1 is
  15. begin
  16. with S select O <= a when '0', b when '1';
  17. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement