Advertisement
redsees

Untitled

Dec 25th, 2013
136
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.16 KB | None | 0 0
  1.  when s0 =>
  2.                 if (ss1 = '0' and ss0 = '0' ) then
  3.                     ot <= "0001";
  4.                 elsif (ss1 = '0' and ss0 = '1' ) then
  5.                     ot <= "1000";
  6.                 elsif (ss1 = '1' and ss0 = '0' ) then
  7.                     ot <= "0001";
  8.                 elsif (ss1 = '1' and ss0 = '1' ) then
  9.                     ot <= "0001";
  10.                 end if;
  11.                 next_s <= s1;
  12.             when s1 =>
  13.                 if (ss1 = '0' and ss0 = '0' ) then
  14.                     ot <= "0010";
  15.                 elsif (ss1 = '0' and ss0 = '1' ) then
  16.                     ot <= "0100";
  17.                 elsif (ss1 = '1' and ss0 = '0' ) then
  18.                     ot <= "0100";
  19.                 elsif (ss1 = '1' and ss0 = '1' ) then
  20.                     ot <= "1000";
  21.                 end if;
  22.                 next_s <= s2;
  23.             when s2 =>
  24.                 if (ss1 = '0' and ss0 = '0' ) then
  25.                     ot <= "0100";
  26.                 elsif (ss1 = '0' and ss0 = '1' ) then
  27.                     ot <= "0010";
  28.                 elsif (ss1 = '1' and ss0 = '0' ) then
  29.                     ot <= "0010";
  30.                 elsif (ss1 = '1' and ss0 = '1' ) then
  31.                     ot <= "0010";
  32.                 end if;
  33.                 next_s <= s3;
  34.             when s3 =>
  35.                 if (ss1 = '0' and ss0 = '0' ) then
  36.                     ot <= "1000";
  37.                 elsif (ss1 = '0' and ss0 = '1' ) then
  38.                     ot <= "0001";
  39.                 elsif (ss1 = '1' and ss0 = '0' ) then
  40.                     ot <= "1000";
  41.                 elsif (ss1 = '1' and ss0 = '1' ) then
  42.                     ot <= "0100";
  43.                 end if;
  44.                 next_s <= s0;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement