Advertisement
solielios

ניסוי UPDOWN

Mar 9th, 2025
89
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 2.04 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. ‎ ‎
  4. entity myCnt is
  5. port (clk, dir, clr : in bit;
  6. ‎        y : out integer range 0 to 15);
  7. end;
  8. ‎ ‎
  9. architecture be of myCnt is
  10. signal y1 : integer range 0 to 15;
  11. begin
  12. ‎ ‎
  13. process(clk)
  14. ‎    begin
  15. ‎    if clr =1then y1 <= 0;
  16. ‎    elsif clk'event and clk = '0' then
  17. ‎        if dir = '1' then y1 <= y1 + 1;
  18. ‎        else y1 <= y1 - 1;
  19. ‎        end if;
  20. ‎    end if;
  21. end process;
  22. ‎ ‎
  23. y <= y1;
  24. end be;
  25.  
  26. 1.‏    האם ניתן לכתוב מונה בצורת כתיבה מקבילה‎?
  27. לא. לא ניתן לכתוב מונה בצורה מקבילה היות ופעולת המניה דורשת הליך טורי‎.‎
  28. 2.‏    האם המונה הינו סינכרוני או א-סינכרוני? הסבר מדוע‎.
  29. המונה הינו מונה א-סינכרוני כיוון שכניסת האיפוס מקבלת עדיפות על כניסת הדרבון‎.‎
  30. 3.‏    כיצד ניתן להפוך את המונה למונה סינכרוני‎?
  31. ניתן לבטל את כניסת האיפוס או לחלופין להחליף את מיקומה עם כניסת הדרבון כך ‏שכניסת הדרבון תופיע בעדיפות ראשונה‎.‎
  32. 4.‏    הציעו חלופה לשימוש ב-SIGNAL
  33. ניתן להשתמש במשתנה עזר‎ VARIABLE ‎ולהכניסו למוצא לפני סיום ההליך הטורי‎.‎
  34. 5.‏    הציעו חלופה לשימוש ב-INTEGER
  35. ניתן להשתמש במשנה מסוג סטנדרט לוגי ווקטור‎ (VECTOR_LOGIC_STD) ‎בצירוף תיקיית‎ ‎UNSIGNED‏.‏
  36. 6.‏    תנו דוגמא להתניות ולולאות נוספות אשר פועלות בתוך הליך טורי‎?
  37. התניית ‏CASE‏, לולאת ‏FOR‏.‏
  38. 7.‏    האם ניתן להשתמש ב-SIGNAL ‎‏ בתוך לולאת ‏FOR?‏‎
  39. לא ניתן, לולאת‎ FOR ‎פועלת עם משתנה עזר‎ VARIABLE ‎בלבד‎.‎
  40.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement