Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- library ieee;
- use ieee.std_logic_1164.all;
-
- entity myCnt is
- port (clk, dir, clr : in bit;
- y : out integer range 0 to 15);
- end;
-
- architecture be of myCnt is
- signal y1 : integer range 0 to 15;
- begin
-
- process(clk)
- begin
- if clr = ‘1’ then y1 <= 0;
- elsif clk'event and clk = '0' then
- if dir = '1' then y1 <= y1 + 1;
- else y1 <= y1 - 1;
- end if;
- end if;
- end process;
-
- y <= y1;
- end be;
- 1. האם ניתן לכתוב מונה בצורת כתיבה מקבילה?
- לא. לא ניתן לכתוב מונה בצורה מקבילה היות ופעולת המניה דורשת הליך טורי.
- 2. האם המונה הינו סינכרוני או א-סינכרוני? הסבר מדוע.
- המונה הינו מונה א-סינכרוני כיוון שכניסת האיפוס מקבלת עדיפות על כניסת הדרבון.
- 3. כיצד ניתן להפוך את המונה למונה סינכרוני?
- ניתן לבטל את כניסת האיפוס או לחלופין להחליף את מיקומה עם כניסת הדרבון כך שכניסת הדרבון תופיע בעדיפות ראשונה.
- 4. הציעו חלופה לשימוש ב-SIGNAL
- ניתן להשתמש במשתנה עזר VARIABLE ולהכניסו למוצא לפני סיום ההליך הטורי.
- 5. הציעו חלופה לשימוש ב-INTEGER
- ניתן להשתמש במשנה מסוג סטנדרט לוגי ווקטור (VECTOR_LOGIC_STD) בצירוף תיקיית UNSIGNED.
- 6. תנו דוגמא להתניות ולולאות נוספות אשר פועלות בתוך הליך טורי?
- התניית CASE, לולאת FOR.
- 7. האם ניתן להשתמש ב-SIGNAL בתוך לולאת FOR?
- לא ניתן, לולאת FOR פועלת עם משתנה עזר VARIABLE בלבד.
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement