Advertisement
Guest User

PC+1+Offset

a guest
Feb 28th, 2020
84
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.84 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. --  EECE'22 - Team (12)
  3. -- Engineer: Yahya Hamdy
  4. --
  5. -- Create Date:
  6. -- Module Name:    PCplusOffset
  7. -- Project Name:   16-Bit Harvard Architecture CPU
  8. -- Target Devices: Spartan 6 - TQG144
  9. -- Description:    A VHDL File for CPU's wire mapping source code
  10. ----------------------------------------------------------------------------------
  11. library ieee;
  12.  use ieee.std_logic_1164.all;  
  13.  use IEEE.STD_LOGIC_UNSIGNED.ALL;
  14.  
  15.  
  16. entity Adder is
  17. port (
  18.        PC_plus_1,offset : in std_logic_vector (15 downto 0);
  19.        PC_plus_offset : out std_logic_vector (15 downto 0));
  20. end Adder;
  21.  
  22. architecture Behavioral of Adder is
  23.  
  24.  
  25.  
  26. begin
  27.  
  28. Process (PC_plus_1,offset)
  29.  
  30. begin
  31.  
  32. PC_plus_offset <= PC_plus_1+offset;
  33.  
  34. end Process;
  35.  
  36.  
  37. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement