Advertisement
ForcaDz

Mode

Nov 27th, 2023
121
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.78 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date: 17.11.2023 20:47:10
  6. -- Design Name:
  7. -- Module Name: Mode - archi
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool Versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20.  
  21.  
  22. library IEEE;
  23. use IEEE.STD_LOGIC_1164.ALL;
  24.  
  25. -- Uncomment the following library declaration if using
  26. -- arithmetic functions with Signed or Unsigned values
  27. --use IEEE.NUMERIC_STD.ALL;
  28.  
  29. -- Uncomment the following library declaration if instantiating
  30. -- any Xilinx leaf cells in this code.
  31. --library UNISIM;
  32. --use UNISIM.VComponents.all;
  33.  
  34. entity Mode is Port (
  35.     Pause_Rqt,Endframe,Lost,No_Brick,Clk25,Reset : in std_logic;
  36.     Game_lost,Brick_Win,Pause : out std_logic );
  37.  
  38. end Mode;
  39.  
  40. architecture archi of Mode is
  41.  
  42. signal Fin_Tempo_Pause, RAZ_Tempo_Pause , Update_Tempo_Pause , Load_Timer_Lost , Update_Timer_Lost : std_logic;
  43. signal Timer_Cpt_Tempo : std_logic_vector (9 downto 0);
  44. signal Timer_Lost_cpt : std_logic_vector(5 downto 0);
  45.  
  46.  
  47. begin
  48.  
  49. --Instanciation Tempo_Pause
  50. Tempo : entity work.Tempo
  51.         port map(Clk25,Reset,RAZ_Tempo_Pause,Update_Tempo_Pause,Timer_Cpt_Tempo,Fin_Tempo_Pause);
  52.  
  53. --Instanciation Timer_Lost
  54. Timer_Lost : entity work.Timer_Lost
  55.              port map(Clk25,Reset,Load_Timer_Lost,Update_Timer_Lost,Timer_Lost_cpt,Game_lost);
  56.  
  57. --Instanciation MAE MODE
  58. MAE_Mode : entity work.MAE_Mode
  59.        port map(Clk25,Reset,Pause_Rqt,Endframe,Lost,No_brick,Fin_Tempo_Pause,Timer_Lost_cpt,Brick_Win,Pause,RAZ_Tempo_Pause,Update_Tempo_Pause,Load_Timer_Lost,Update_Timer_Lost);
  60.        
  61. end archi;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement