Advertisement
hbinderup94

game_guess2_tester

Apr 6th, 2017
99
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.14 KB | None | 0 0
  1. -------------- guess_game2_tester --------------
  2. library ieee;
  3. use ieee.std_logic_1164.all;
  4.  
  5. entity guess_game2_tester is
  6.  port(
  7.      SW     : in std_logic_vector(17 downto 0);
  8.      key    : in std_logic_vector(2 downto 0);
  9.      HEX0   : out std_logic_vector(6 downto 0);
  10.      HEX1   : out std_logic_vector(6 downto 0);
  11.      HEX2   : out std_logic_vector(6 downto 0);
  12.      HEX3   : out std_logic_vector(6 downto 0);
  13.      HEX4   : out std_logic_vector(6 downto 0);
  14.      HEX5   : out std_logic_vector(6 downto 0);
  15.      HEX6   : out std_logic_vector(6 downto 0);
  16.      HEX7   : out std_logic_vector(6 downto 0));
  17. end guess_game2_tester;
  18.  
  19. architecture structural of guess_game2_tester is
  20. begin
  21.  
  22.     I: entity work.guess_game2
  23.         port map(
  24.             inputs      => SW(7 downto 0),
  25.             player      => SW(17), -- vi bruger SW17 til at skifte aktiv player
  26.             set         => key(2),
  27.             show        => key(1),
  28.             try         => key(0),
  29.             hex1        => HEX0,
  30.             hex10       => HEX1,
  31.             hex_p       => HEX6);
  32.        
  33.             -- Vi slukker alle HEX displays som ikke er i brug; bare for at gøre spillet pænere.
  34.             HEX2        <= "1111111";
  35.             HEX3        <= "1111111";
  36.             HEX4        <= "1111111";
  37.             HEX5        <= "1111111";
  38.             HEX7        <= "1111111";
  39. end structural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement