Advertisement
Sidsh

sequence_item.sv

Oct 29th, 2022 (edited)
1,083
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. class our_packet extends uvm_sequence_item;
  2.     `uvm_component_utils(our_packet)
  3.  
  4.     //request
  5.     rand bit[7:0] input_1;      //rand is to randomize the input bits
  6.     bit[7:0] input_2;
  7.    
  8.     //response
  9.     bit[15:0] output_3;
  10.  
  11. function new(string name="our_packet");
  12.     super.new(name);
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement