Advertisement
Guest User

Untitled

a guest
Jun 27th, 2017
50
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.45 KB | None | 0 0
  1. entity VERKEERD is
  2. port(   A,B : in integer range 0 to 3;
  3.     Z : out std_logic_vector (3 downto 0));
  4. end VERKEERD;
  5.  
  6. architecture TROEP of VERKEERD is
  7.     SLECHT : process (A,B)
  8.         begin
  9.             Z <= `´0000´´;
  10.             if (A = 0) then
  11.                 Z <= `´0011´´;
  12.             elsif (A = 2) then
  13.                 Z <=1111´´;
  14.             else
  15.                 case B is
  16.                     when 0 =>
  17.                         Z <= `´0000´´;
  18.                     when 0 to 2 =>
  19.                         Z <=1111´´;
  20.                 end case;
  21.             end if;
  22.         end SLECHT;
  23. end TROEP;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement