Advertisement
SallatielFernandes

mux_4_1_when_else

Nov 22nd, 2019
179
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.61 KB | None | 0 0
  1. -- PROJETO: mux_4_1_when_else
  2. -- ENTRADA A, B, C, D, sel1, sel2 (tipo bit)
  3. -- SAIDA: S (tipo bit)
  4. -- AUTORES: MARCOS MEIRA, JOAO VITOR, SALLATIEL FERNANDES
  5. -- CRIACAO: 22/11/2019
  6. -- ALTERACAO: 22/11/2019
  7. ----------------------------------------------------------
  8.  
  9. Entity mux_4_1_when_else is
  10. Port(A, B, C, D : IN BIT;
  11.      sel1, sel2 : IN BIT;
  12.               S : OUT BIT);
  13. end mux_4_1_when_else;
  14.  
  15. Architecture teste of mux_4_1_when_else is
  16. begin
  17. S <= A WHEN (sel1 = '0' AND sel2 = '0') ELSE
  18.      B WHEN (sel1 = '0' AND sel2 = '1') ELSE
  19.      C WHEN (sel1 = '1' AND sel2 = '0') ELSE
  20.      D;
  21. end teste;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement