Advertisement
voik3

Untitled

Jun 26th, 2019
299
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.70 KB | None | 0 0
  1. #PACE: Start of Constraints generated by PACE
  2.  
  3. #PACE: Start of PACE I/O Pin Assignments
  4. NET "XLXN_141"  LOC = "p38"  ;
  5. NET "XLXN_142<0>"  LOC = "p130"  ;
  6. NET "XLXN_142<1>"  LOC = "p129"  ;
  7. NET "XLXN_142<2>"  LOC = "p128"  ;
  8. NET "XLXN_142<3>"  LOC = "p126"  ;
  9. NET "XLXN_143<0>"  LOC = "p56"  ;
  10. NET "XLXN_143<1>"  LOC = "p53"  ;
  11. NET "XLXN_143<2>"  LOC = "p60"  ;
  12. NET "XLXN_143<3>"  LOC = "p58"  ;
  13. NET "XLXN_143<4>"  LOC = "p57"  ;
  14. NET "XLXN_143<5>"  LOC = "p54"  ;
  15. NET "XLXN_143<6>"  LOC = "p61"  ;
  16. NET "XLXN_144<0>"  LOC = "p124"  ;
  17. NET "XLXN_144<1>"  LOC = "p39"  ;
  18.  
  19. #PACE: Start of PACE Area Constraints
  20.  
  21. #PACE: Start of PACE Prohibit Constraints
  22.  
  23. #PACE: End of Constraints generated by PACE
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement