Advertisement
Guest User

GenIO.ucf

a guest
May 18th, 2019
91
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 2.57 KB | None | 0 0
  1. # soldered 50MHz Clock.
  2. NET "Clk_50MHz" LOC = "C9" | IOSTANDARD = LVTTL | PERIOD = 20.0ns HIGH 50%;
  3.  
  4. # Ignore cross-clock domain data paths in timing analysis
  5. #NET "Clk50"  TNM = "TNM_Clk50";
  6. #NET "ClkSys" TNM = "TNM_ClkSys";
  7. #TIMESPEC "TS_12" = FROM "TNM_ClkSys" TO "TNM_Clk50"  TIG;
  8. #TIMESPEC "TS_13" = FROM "TNM_Clk50"  TO "TNM_ClkSys" TIG;
  9.  
  10. #
  11. # Push-buttons (Press = Hi)
  12. #
  13. #NET "E" LOC = "V4"  | IOSTANDARD = LVTTL | PULLDOWN;
  14. #NET "btn_east"  LOC = "H13" | IOSTANDARD = LVTTL | PULLDOWN;
  15. NET "Reset" LOC = "K17" | IOSTANDARD = LVTTL | PULLDOWN;
  16. #NET "btn_west"  LOC = "D18" | IOSTANDARD = LVTTL | PULLDOWN;
  17.  
  18. #
  19. # Slide switches (Up = Hi)
  20. #
  21. #NET "SW<0>" LOC = "L13" | IOSTANDARD = LVTTL | PULLUP;
  22. #NET "SW<1>" LOC = "L14" | IOSTANDARD = LVTTL | PULLUP;
  23. #NET "SW<2>" LOC = "H18" | IOSTANDARD = LVTTL | PULLUP;
  24. #NET "SW<3>" LOC = "N17" | IOSTANDARD = LVTTL | PULLUP;
  25.  
  26. #
  27. # Rotary encoder
  28. #
  29. #NET "ROT_A"       LOC = "K18" | IOSTANDARD = LVTTL | PULLUP;
  30. #NET "ROT_B"       LOC = "G18" | IOSTANDARD = LVTTL | PULLUP;
  31. #NET "ROT_CENTER"  LOC = "V16" | IOSTANDARD = LVTTL | PULLDOWN;
  32.  
  33. #
  34. # Simple LEDs (Hi = On)
  35. #
  36. NET "LED(1)" LOC = "F12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
  37. NET "LED(0)" LOC = "E12" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
  38. #NET "led<2>" LOC = "E11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
  39. #NET "led<3>" LOC = "F11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
  40. #NET "led<4>" LOC = "C11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
  41. #NET "led<5>" LOC = "D11" | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
  42. #NET "led<6>" LOC = "E9"  | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
  43. #NET "led<7>" LOC = "F9"  | IOSTANDARD = LVTTL | SLEW = SLOW | DRIVE = 4;
  44.  
  45. #
  46. # PS/2 port
  47. #
  48. NET "PS2_Data" LOC = "G13" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8;
  49. NET "PS2_Clk"  LOC = "G14" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8;
  50.  
  51. #
  52. # VGA
  53. #
  54. #NET "VGA_R"  LOC = "H14" | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;
  55. #NET "VGA_G"  LOC = "H15" | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;
  56. #NET "VGA_B"  LOC = "G15" | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;
  57. #NET "VGA_HS" LOC = "F15" | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;
  58. #NET "VGA_VS" LOC = "F14" | IOSTANDARD = LVTTL | SLEW = FAST | DRIVE = 8;
  59.  
  60. # RS-232 Serial Port: DCE
  61. #NET "RS232_RXD" LOC = "R7" | IOSTANDARD = LVTTL ;
  62. #NET "RS232_TXD" LOC = "M14" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW ;
  63. # RS-232 Serial Port: DTE
  64. #NET "RS232_RXD" LOC = "U8" | IOSTANDARD = LVTTL ;
  65. #NET "RS232_TXD" LOC = "M13" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW ;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement