Advertisement
redsees

Untitled

Jan 14th, 2017
116
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module blinker(CLK, LED);
  2.  
  3.     input       CLK;
  4.     output      LED;
  5.     integer     counter = 0;
  6.     reg         LEDR;
  7.  
  8.     always@(posedge CLK)
  9.     begin
  10.         if( counter == 12500000 )
  11.         begin
  12.             LEDR <= 1'b1;
  13.             counter <= 0;
  14.         end
  15.         else
  16.         begin
  17.             counter <= counter + 1;
  18.             LEDR <= 0;
  19.         end
  20.     end
  21.  
  22.     assign      LED = LEDR;
  23.  
  24. endmodule
  25.  
  26. module tb;
  27.  
  28.     reg clk;
  29.     wire    out;
  30.  
  31.     initial
  32.         clk = 1'b0;
  33.    
  34.     always
  35.          #1 clk = !clk;
  36.    
  37.     initial
  38.         $monitor("[%d] LED = %b", $time, out);
  39.  
  40.     //initial
  41.     //  #100 $finish;
  42.  
  43.     blinker inst0(.CLK(clk), .LED(out));
  44. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement