Advertisement
Guest User

Untitled

a guest
Mar 15th, 2019
100
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.28 KB | None | 0 0
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.all;
  3. USE ieee.numeric_std.all;
  4.  
  5.  
  6. entity MULTI_2_TO_1 is
  7.     port (SEL, A, B: in std_logic;
  8.     Y: out std_logic);
  9. end MULTI_2_TO_1;
  10.  
  11. architecture DESCRIPTION of MULTI_2_TO_1 is
  12. begin
  13.     Y <= ((SEL and A) or (not (SEL) and B));
  14. end DESCRIPTION;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement