Advertisement
Guest User

Untitled

a guest
May 16th, 2018
120
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.21 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date:    09:27:10 04/05/2018
  6. -- Design Name:
  7. -- Module Name:    s400pp - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  23. -- Uncomment the following library declaration if using
  24. -- arithmetic functions with Signed or Unsigned values
  25. --use IEEE.NUMERIC_STD.ALL;
  26.  
  27. -- Uncomment the following library declaration if instantiating
  28. -- any Xilinx primitives in this code.
  29. --library UNISIM;
  30. --use UNISIM.VComponents.all;
  31.  
  32. entity s400pp is
  33.     Port (
  34.            CLK100 : in  STD_LOGIC;
  35.            adc_in : in  STD_LOGIC_VECTOR (8 downto 0);
  36.            adc_out : out  STD_LOGIC_VECTOR (8 downto 0));
  37. end s400pp;
  38.  
  39. architecture Behavioral of s400pp is
  40. signal adc : STD_LOGIC_VECTOR (8 downto 0);
  41. begin
  42. --adc<=adc_in;
  43. --adc<=adc+"110010000";
  44. adc_out<=adc_in+"100000001";
  45. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement