redsees

Untitled

Feb 5th, 2016
241
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns/1ps
  2. module tb;
  3. reg[3:0] A,B;
  4. reg Ci;
  5. wire[7:0] S;
  6.  
  7. mymult myinst(.A(A),.B(B),.out(S));
  8.  
  9. initial
  10. begin
  11.     A = 4'b0000;
  12.     B = 4'b0000;
  13.     Ci = 1'b0;
  14.     $monitor("A: %d  B: %d  ==> Out: %d\n",A,B,S);
  15. end
  16.  
  17. initial
  18. begin
  19.     #20
  20.     A = 2;
  21.     B = 3;
  22.     #5
  23.     A = 1;
  24.     B = 5;
  25.     #5
  26.     A = 0;
  27.     B = 6;
  28.     #5
  29.     A = 10;
  30.     B = 1;
  31.     #5
  32.     A = 10;
  33.     B = 10;
  34.     #5
  35.     A = 4;
  36.     B = 3;
  37.     #5
  38.     A = 15;
  39.     B = 15;
  40.     $finish;
  41. end
  42.  
  43. endmodule
Add Comment
Please, Sign In to add comment