Advertisement
Guest User

Untitled

a guest
Sep 14th, 2018
117
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 16.91 KB | None | 0 0
  1. library ieee;
  2.  
  3. use ieee.std_logic_1164.ALL;
  4. use ieee.numeric_std.ALL;
  5.  
  6. entity SystemFont is
  7.     port(
  8.         CLOCK   : in std_logic;
  9.         GLYPH   : in std_logic_vector(6 downto 0);
  10.         COLUMN  : in std_logic_vector(3 downto 0);
  11.         ROW     : in std_logic_vector(3 downto 0);
  12.         SET_BIT : out std_logic
  13.     );
  14. end SystemFont;
  15.  
  16. architecture RTL of SystemFont is
  17.    
  18.     type t_Font is array (0 to (128*8)-1) of std_logic_vector(7 downto 0);
  19.  
  20.     -- ROM definition
  21.     signal r_Font : t_Font := (  
  22.         "00000000",
  23.         "00000000",
  24.         "00000000",
  25.         "00000000",
  26.         "00000000",
  27.         "00000000",
  28.         "00000000",
  29.         "00000000",
  30.         "00000000",
  31.         "00000000",
  32.         "00000000",
  33.         "00000000",
  34.         "00000000",
  35.         "00000000",
  36.         "00000000",
  37.         "00000000",
  38.         "00000000",
  39.         "00000000",
  40.         "00000000",
  41.         "00000000",
  42.         "00000000",
  43.         "00000000",
  44.         "00000000",
  45.         "00000000",
  46.         "00000000",
  47.         "00000000",
  48.         "00000000",
  49.         "00000000",
  50.         "00000000",
  51.         "00000000",
  52.         "00000000",
  53.         "00000000",
  54.         "00000000",
  55.         "00000000",
  56.         "00000000",
  57.         "00000000",
  58.         "00000000",
  59.         "00000000",
  60.         "00000000",
  61.         "00000000",
  62.         "00000000",
  63.         "00000000",
  64.         "00000000",
  65.         "00000000",
  66.         "00000000",
  67.         "00000000",
  68.         "00000000",
  69.         "00000000",
  70.         "00000000",
  71.         "00000000",
  72.         "00000000",
  73.         "00000000",
  74.         "00000000",
  75.         "00000000",
  76.         "00000000",
  77.         "00000000",
  78.         "00000000",
  79.         "00000000",
  80.         "00000000",
  81.         "00000000",
  82.         "00000000",
  83.         "00000000",
  84.         "00000000",
  85.         "00000000",
  86.         "00000000",
  87.         "00000000",
  88.         "00000000",
  89.         "00000000",
  90.         "00000000",
  91.         "00000000",
  92.         "00000000",
  93.         "00000000",
  94.         "00000000",
  95.         "00000000",
  96.         "00000000",
  97.         "00000000",
  98.         "00000000",
  99.         "00000000",
  100.         "00000000",
  101.         "00000000",
  102.         "00000000",
  103.         "00000000",
  104.         "00000000",
  105.         "00000000",
  106.         "00000000",
  107.         "00000000",
  108.         "00000000",
  109.         "00000000",
  110.         "00000000",
  111.         "00000000",
  112.         "00000000",
  113.         "00000000",
  114.         "00000000",
  115.         "00000000",
  116.         "00000000",
  117.         "00000000",
  118.         "00000000",
  119.         "00000000",
  120.         "00000000",
  121.         "00000000",
  122.         "00000000",
  123.         "00000000",
  124.         "00000000",
  125.         "00000000",
  126.         "00000000",
  127.         "00000000",
  128.         "00000000",
  129.         "00000000",
  130.         "00000000",
  131.         "00000000",
  132.         "00000000",
  133.         "00000000",
  134.         "00000000",
  135.         "00000000",
  136.         "00000000",
  137.         "00000000",
  138.         "00000000",
  139.         "00000000",
  140.         "00000000",
  141.         "00000000",
  142.         "00000000",
  143.         "00000000",
  144.         "00000000",
  145.         "00000000",
  146.         "00000000",
  147.         "00000000",
  148.         "00000000",
  149.         "00000000",
  150.         "00000000",
  151.         "00000000",
  152.         "00000000",
  153.         "00000000",
  154.         "00000000",
  155.         "00000000",
  156.         "00000000",
  157.         "00000000",
  158.         "00000000",
  159.         "00000000",
  160.         "00000000",
  161.         "00000000",
  162.         "00000000",
  163.         "00000000",
  164.         "00000000",
  165.         "00000000",
  166.         "00000000",
  167.         "00000000",
  168.         "00000000",
  169.         "00000000",
  170.         "00000000",
  171.         "00000000",
  172.         "00000000",
  173.         "00000000",
  174.         "00000000",
  175.         "00000000",
  176.         "00000000",
  177.         "00000000",
  178.         "00000000",
  179.         "00000000",
  180.         "00000000",
  181.         "00000000",
  182.         "00000000",
  183.         "00000000",
  184.         "00000000",
  185.         "00000000",
  186.         "00000000",
  187.         "00000000",
  188.         "00000000",
  189.         "00000000",
  190.         "00000000",
  191.         "00000000",
  192.         "00000000",
  193.         "00000000",
  194.         "00000000",
  195.         "00000000",
  196.         "00000000",
  197.         "00000000",
  198.         "00000000",
  199.         "00000000",
  200.         "00000000",
  201.         "00000000",
  202.         "00000000",
  203.         "00000000",
  204.         "00000000",
  205.         "00000000",
  206.         "00000000",
  207.         "00000000",
  208.         "00000000",
  209.         "00000000",
  210.         "00000000",
  211.         "00000000",
  212.         "00000000",
  213.         "00000000",
  214.         "00000000",
  215.         "00000000",
  216.         "00000000",
  217.         "00000000",
  218.         "00000000",
  219.         "00000000",
  220.         "00000000",
  221.         "00000000",
  222.         "00000000",
  223.         "00000000",
  224.         "00000000",
  225.         "00000000",
  226.         "00000000",
  227.         "00000000",
  228.         "00000000",
  229.         "00000000",
  230.         "00000000",
  231.         "00000000",
  232.         "00000000",
  233.         "00000000",
  234.         "00000000",
  235.         "00000000",
  236.         "00000000",
  237.         "00000000",
  238.         "00000000",
  239.         "00000000",
  240.         "00000000",
  241.         "00000000",
  242.         "00000000",
  243.         "00000000",
  244.         "00000000",
  245.         "00000000",
  246.         "00000000",
  247.         "00000000",
  248.         "00000000",
  249.         "00000000",
  250.         "00000000",
  251.         "00000000",
  252.         "00000000",
  253.         "00000000",
  254.         "00000000",
  255.         "00000000",
  256.         "00000000",
  257.         "00000000",
  258.         "00000000",
  259.         "00000000",
  260.         "00000000",
  261.         "00000000",
  262.         "00000000",
  263.         "00000000",
  264.         "00000000",
  265.         "00000000",
  266.         "00000000",
  267.         "00000000",
  268.         "00000000",
  269.         "00000000",
  270.         "00000000",
  271.         "00000000",
  272.         "00000000",
  273.         "00000000",
  274.         "00000000",
  275.         "00000000",
  276.         "00000000",
  277.         "00000000",
  278.         "00000000",
  279.         "00000000",
  280.         "00000000",
  281.         "00000000",
  282.         "00000000",
  283.         "00000000",
  284.         "00000000",
  285.         "00000000",
  286.         "00011000",
  287.         "00111100",
  288.         "00111100",
  289.         "00011000",
  290.         "00011000",
  291.         "00000000",
  292.         "00011000",
  293.         "00000000",
  294.         "00110110",
  295.         "00110110",
  296.         "00000000",
  297.         "00000000",
  298.         "00000000",
  299.         "00000000",
  300.         "00000000",
  301.         "00000000",
  302.         "00110110",
  303.         "00110110",
  304.         "01111111",
  305.         "00110110",
  306.         "01111111",
  307.         "00110110",
  308.         "00110110",
  309.         "00000000",
  310.         "00001100",
  311.         "00111110",
  312.         "00000011",
  313.         "00011110",
  314.         "00110000",
  315.         "00011111",
  316.         "00001100",
  317.         "00000000",
  318.         "00000000",
  319.         "01100011",
  320.         "00110011",
  321.         "00011000",
  322.         "00001100",
  323.         "01100110",
  324.         "01100011",
  325.         "00000000",
  326.         "00011100",
  327.         "00110110",
  328.         "00011100",
  329.         "01101110",
  330.         "00111011",
  331.         "00110011",
  332.         "01101110",
  333.         "00000000",
  334.         "00000110",
  335.         "00000110",
  336.         "00000011",
  337.         "00000000",
  338.         "00000000",
  339.         "00000000",
  340.         "00000000",
  341.         "00000000",
  342.         "00011000",
  343.         "00001100",
  344.         "00000110",
  345.         "00000110",
  346.         "00000110",
  347.         "00001100",
  348.         "00011000",
  349.         "00000000",
  350.         "00000110",
  351.         "00001100",
  352.         "00011000",
  353.         "00011000",
  354.         "00011000",
  355.         "00001100",
  356.         "00000110",
  357.         "00000000",
  358.         "00000000",
  359.         "01100110",
  360.         "00111100",
  361.         "11111111",
  362.         "00111100",
  363.         "01100110",
  364.         "00000000",
  365.         "00000000",
  366.         "00000000",
  367.         "00001100",
  368.         "00001100",
  369.         "00111111",
  370.         "00001100",
  371.         "00001100",
  372.         "00000000",
  373.         "00000000",
  374.         "00000000",
  375.         "00000000",
  376.         "00000000",
  377.         "00000000",
  378.         "00000000",
  379.         "00001100",
  380.         "00001100",
  381.         "00000110",
  382.         "00000000",
  383.         "00000000",
  384.         "00000000",
  385.         "00111111",
  386.         "00000000",
  387.         "00000000",
  388.         "00000000",
  389.         "00000000",
  390.         "00000000",
  391.         "00000000",
  392.         "00000000",
  393.         "00000000",
  394.         "00000000",
  395.         "00001100",
  396.         "00001100",
  397.         "00000000",
  398.         "01100000",
  399.         "00110000",
  400.         "00011000",
  401.         "00001100",
  402.         "00000110",
  403.         "00000011",
  404.         "00000001",
  405.         "00000000",
  406.         "00111110",
  407.         "01100011",
  408.         "01110011",
  409.         "01111011",
  410.         "01101111",
  411.         "01100111",
  412.         "00111110",
  413.         "00000000",
  414.         "00001100",
  415.         "00001110",
  416.         "00001100",
  417.         "00001100",
  418.         "00001100",
  419.         "00001100",
  420.         "00111111",
  421.         "00000000",
  422.         "00011110",
  423.         "00110011",
  424.         "00110000",
  425.         "00011100",
  426.         "00000110",
  427.         "00110011",
  428.         "00111111",
  429.         "00000000",
  430.         "00011110",
  431.         "00110011",
  432.         "00110000",
  433.         "00011100",
  434.         "00110000",
  435.         "00110011",
  436.         "00011110",
  437.         "00000000",
  438.         "00111000",
  439.         "00111100",
  440.         "00110110",
  441.         "00110011",
  442.         "01111111",
  443.         "00110000",
  444.         "01111000",
  445.         "00000000",
  446.         "00111111",
  447.         "00000011",
  448.         "00011111",
  449.         "00110000",
  450.         "00110000",
  451.         "00110011",
  452.         "00011110",
  453.         "00000000",
  454.         "00011100",
  455.         "00000110",
  456.         "00000011",
  457.         "00011111",
  458.         "00110011",
  459.         "00110011",
  460.         "00011110",
  461.         "00000000",
  462.         "00111111",
  463.         "00110011",
  464.         "00110000",
  465.         "00011000",
  466.         "00001100",
  467.         "00001100",
  468.         "00001100",
  469.         "00000000",
  470.         "00011110",
  471.         "00110011",
  472.         "00110011",
  473.         "00011110",
  474.         "00110011",
  475.         "00110011",
  476.         "00011110",
  477.         "00000000",
  478.         "00011110",
  479.         "00110011",
  480.         "00110011",
  481.         "00111110",
  482.         "00110000",
  483.         "00011000",
  484.         "00001110",
  485.         "00000000",
  486.         "00000000",
  487.         "00001100",
  488.         "00001100",
  489.         "00000000",
  490.         "00000000",
  491.         "00001100",
  492.         "00001100",
  493.         "00000000",
  494.         "00000000",
  495.         "00001100",
  496.         "00001100",
  497.         "00000000",
  498.         "00000000",
  499.         "00001100",
  500.         "00001100",
  501.         "00000110",
  502.         "00011000",
  503.         "00001100",
  504.         "00000110",
  505.         "00000011",
  506.         "00000110",
  507.         "00001100",
  508.         "00011000",
  509.         "00000000",
  510.         "00000000",
  511.         "00000000",
  512.         "00111111",
  513.         "00000000",
  514.         "00000000",
  515.         "00111111",
  516.         "00000000",
  517.         "00000000",
  518.         "00000110",
  519.         "00001100",
  520.         "00011000",
  521.         "00110000",
  522.         "00011000",
  523.         "00001100",
  524.         "00000110",
  525.         "00000000",
  526.         "00011110",
  527.         "00110011",
  528.         "00110000",
  529.         "00011000",
  530.         "00001100",
  531.         "00000000",
  532.         "00001100",
  533.         "00000000",
  534.         "00111110",
  535.         "01100011",
  536.         "01111011",
  537.         "01111011",
  538.         "01111011",
  539.         "00000011",
  540.         "00011110",
  541.         "00000000",
  542.         "00001100",
  543.         "00011110",
  544.         "00110011",
  545.         "00110011",
  546.         "00111111",
  547.         "00110011",
  548.         "00110011",
  549.         "00000000",
  550.         "00111111",
  551.         "01100110",
  552.         "01100110",
  553.         "00111110",
  554.         "01100110",
  555.         "01100110",
  556.         "00111111",
  557.         "00000000",
  558.         "00111100",
  559.         "01100110",
  560.         "00000011",
  561.         "00000011",
  562.         "00000011",
  563.         "01100110",
  564.         "00111100",
  565.         "00000000",
  566.         "00011111",
  567.         "00110110",
  568.         "01100110",
  569.         "01100110",
  570.         "01100110",
  571.         "00110110",
  572.         "00011111",
  573.         "00000000",
  574.         "01111111",
  575.         "01000110",
  576.         "00010110",
  577.         "00011110",
  578.         "00010110",
  579.         "01000110",
  580.         "01111111",
  581.         "00000000",
  582.         "01111111",
  583.         "01000110",
  584.         "00010110",
  585.         "00011110",
  586.         "00010110",
  587.         "00000110",
  588.         "00001111",
  589.         "00000000",
  590.         "00111100",
  591.         "01100110",
  592.         "00000011",
  593.         "00000011",
  594.         "01110011",
  595.         "01100110",
  596.         "01111100",
  597.         "00000000",
  598.         "00110011",
  599.         "00110011",
  600.         "00110011",
  601.         "00111111",
  602.         "00110011",
  603.         "00110011",
  604.         "00110011",
  605.         "00000000",
  606.         "00011110",
  607.         "00001100",
  608.         "00001100",
  609.         "00001100",
  610.         "00001100",
  611.         "00001100",
  612.         "00011110",
  613.         "00000000",
  614.         "01111000",
  615.         "00110000",
  616.         "00110000",
  617.         "00110000",
  618.         "00110011",
  619.         "00110011",
  620.         "00011110",
  621.         "00000000",
  622.         "01100111",
  623.         "01100110",
  624.         "00110110",
  625.         "00011110",
  626.         "00110110",
  627.         "01100110",
  628.         "01100111",
  629.         "00000000",
  630.         "00001111",
  631.         "00000110",
  632.         "00000110",
  633.         "00000110",
  634.         "01000110",
  635.         "01100110",
  636.         "01111111",
  637.         "00000000",
  638.         "01100011",
  639.         "01110111",
  640.         "01111111",
  641.         "01111111",
  642.         "01101011",
  643.         "01100011",
  644.         "01100011",
  645.         "00000000",
  646.         "01100011",
  647.         "01100111",
  648.         "01101111",
  649.         "01111011",
  650.         "01110011",
  651.         "01100011",
  652.         "01100011",
  653.         "00000000",
  654.         "00011100",
  655.         "00110110",
  656.         "01100011",
  657.         "01100011",
  658.         "01100011",
  659.         "00110110",
  660.         "00011100",
  661.         "00000000",
  662.         "00111111",
  663.         "01100110",
  664.         "01100110",
  665.         "00111110",
  666.         "00000110",
  667.         "00000110",
  668.         "00001111",
  669.         "00000000",
  670.         "00011110",
  671.         "00110011",
  672.         "00110011",
  673.         "00110011",
  674.         "00111011",
  675.         "00011110",
  676.         "00111000",
  677.         "00000000",
  678.         "00111111",
  679.         "01100110",
  680.         "01100110",
  681.         "00111110",
  682.         "00110110",
  683.         "01100110",
  684.         "01100111",
  685.         "00000000",
  686.         "00011110",
  687.         "00110011",
  688.         "00000111",
  689.         "00001110",
  690.         "00111000",
  691.         "00110011",
  692.         "00011110",
  693.         "00000000",
  694.         "00111111",
  695.         "00101101",
  696.         "00001100",
  697.         "00001100",
  698.         "00001100",
  699.         "00001100",
  700.         "00011110",
  701.         "00000000",
  702.         "00110011",
  703.         "00110011",
  704.         "00110011",
  705.         "00110011",
  706.         "00110011",
  707.         "00110011",
  708.         "00111111",
  709.         "00000000",
  710.         "00110011",
  711.         "00110011",
  712.         "00110011",
  713.         "00110011",
  714.         "00110011",
  715.         "00011110",
  716.         "00001100",
  717.         "00000000",
  718.         "01100011",
  719.         "01100011",
  720.         "01100011",
  721.         "01101011",
  722.         "01111111",
  723.         "01110111",
  724.         "01100011",
  725.         "00000000",
  726.         "01100011",
  727.         "01100011",
  728.         "00110110",
  729.         "00011100",
  730.         "00011100",
  731.         "00110110",
  732.         "01100011",
  733.         "00000000",
  734.         "00110011",
  735.         "00110011",
  736.         "00110011",
  737.         "00011110",
  738.         "00001100",
  739.         "00001100",
  740.         "00011110",
  741.         "00000000",
  742.         "01111111",
  743.         "01100011",
  744.         "00110001",
  745.         "00011000",
  746.         "01001100",
  747.         "01100110",
  748.         "01111111",
  749.         "00000000",
  750.         "00011110",
  751.         "00000110",
  752.         "00000110",
  753.         "00000110",
  754.         "00000110",
  755.         "00000110",
  756.         "00011110",
  757.         "00000000",
  758.         "00000011",
  759.         "00000110",
  760.         "00001100",
  761.         "00011000",
  762.         "00110000",
  763.         "01100000",
  764.         "01000000",
  765.         "00000000",
  766.         "00011110",
  767.         "00011000",
  768.         "00011000",
  769.         "00011000",
  770.         "00011000",
  771.         "00011000",
  772.         "00011110",
  773.         "00000000",
  774.         "00001000",
  775.         "00011100",
  776.         "00110110",
  777.         "01100011",
  778.         "00000000",
  779.         "00000000",
  780.         "00000000",
  781.         "00000000",
  782.         "00000000",
  783.         "00000000",
  784.         "00000000",
  785.         "00000000",
  786.         "00000000",
  787.         "00000000",
  788.         "00000000",
  789.         "11111111",
  790.         "00001100",
  791.         "00001100",
  792.         "00011000",
  793.         "00000000",
  794.         "00000000",
  795.         "00000000",
  796.         "00000000",
  797.         "00000000",
  798.         "00000000",
  799.         "00000000",
  800.         "00011110",
  801.         "00110000",
  802.         "00111110",
  803.         "00110011",
  804.         "01101110",
  805.         "00000000",
  806.         "00000111",
  807.         "00000110",
  808.         "00000110",
  809.         "00111110",
  810.         "01100110",
  811.         "01100110",
  812.         "00111011",
  813.         "00000000",
  814.         "00000000",
  815.         "00000000",
  816.         "00011110",
  817.         "00110011",
  818.         "00000011",
  819.         "00110011",
  820.         "00011110",
  821.         "00000000",
  822.         "00111000",
  823.         "00110000",
  824.         "00110000",
  825.         "00111110",
  826.         "00110011",
  827.         "00110011",
  828.         "01101110",
  829.         "00000000",
  830.         "00000000",
  831.         "00000000",
  832.         "00011110",
  833.         "00110011",
  834.         "00111111",
  835.         "00000011",
  836.         "00011110",
  837.         "00000000",
  838.         "00011100",
  839.         "00110110",
  840.         "00000110",
  841.         "00001111",
  842.         "00000110",
  843.         "00000110",
  844.         "00001111",
  845.         "00000000",
  846.         "00000000",
  847.         "00000000",
  848.         "01101110",
  849.         "00110011",
  850.         "00110011",
  851.         "00111110",
  852.         "00110000",
  853.         "00011111",
  854.         "00000111",
  855.         "00000110",
  856.         "00110110",
  857.         "01101110",
  858.         "01100110",
  859.         "01100110",
  860.         "01100111",
  861.         "00000000",
  862.         "00001100",
  863.         "00000000",
  864.         "00001110",
  865.         "00001100",
  866.         "00001100",
  867.         "00001100",
  868.         "00011110",
  869.         "00000000",
  870.         "00110000",
  871.         "00000000",
  872.         "00110000",
  873.         "00110000",
  874.         "00110000",
  875.         "00110011",
  876.         "00110011",
  877.         "00011110",
  878.         "00000111",
  879.         "00000110",
  880.         "01100110",
  881.         "00110110",
  882.         "00011110",
  883.         "00110110",
  884.         "01100111",
  885.         "00000000",
  886.         "00001110",
  887.         "00001100",
  888.         "00001100",
  889.         "00001100",
  890.         "00001100",
  891.         "00001100",
  892.         "00011110",
  893.         "00000000",
  894.         "00000000",
  895.         "00000000",
  896.         "00110011",
  897.         "01111111",
  898.         "01111111",
  899.         "01101011",
  900.         "01100011",
  901.         "00000000",
  902.         "00000000",
  903.         "00000000",
  904.         "00011111",
  905.         "00110011",
  906.         "00110011",
  907.         "00110011",
  908.         "00110011",
  909.         "00000000",
  910.         "00000000",
  911.         "00000000",
  912.         "00011110",
  913.         "00110011",
  914.         "00110011",
  915.         "00110011",
  916.         "00011110",
  917.         "00000000",
  918.         "00000000",
  919.         "00000000",
  920.         "00111011",
  921.         "01100110",
  922.         "01100110",
  923.         "00111110",
  924.         "00000110",
  925.         "00001111",
  926.         "00000000",
  927.         "00000000",
  928.         "01101110",
  929.         "00110011",
  930.         "00110011",
  931.         "00111110",
  932.         "00110000",
  933.         "01111000",
  934.         "00000000",
  935.         "00000000",
  936.         "00111011",
  937.         "01101110",
  938.         "01100110",
  939.         "00000110",
  940.         "00001111",
  941.         "00000000",
  942.         "00000000",
  943.         "00000000",
  944.         "00111110",
  945.         "00000011",
  946.         "00011110",
  947.         "00110000",
  948.         "00011111",
  949.         "00000000",
  950.         "00001000",
  951.         "00001100",
  952.         "00111110",
  953.         "00001100",
  954.         "00001100",
  955.         "00101100",
  956.         "00011000",
  957.         "00000000",
  958.         "00000000",
  959.         "00000000",
  960.         "00110011",
  961.         "00110011",
  962.         "00110011",
  963.         "00110011",
  964.         "01101110",
  965.         "00000000",
  966.         "00000000",
  967.         "00000000",
  968.         "00110011",
  969.         "00110011",
  970.         "00110011",
  971.         "00011110",
  972.         "00001100",
  973.         "00000000",
  974.         "00000000",
  975.         "00000000",
  976.         "01100011",
  977.         "01101011",
  978.         "01111111",
  979.         "01111111",
  980.         "00110110",
  981.         "00000000",
  982.         "00000000",
  983.         "00000000",
  984.         "01100011",
  985.         "00110110",
  986.         "00011100",
  987.         "00110110",
  988.         "01100011",
  989.         "00000000",
  990.         "00000000",
  991.         "00000000",
  992.         "00110011",
  993.         "00110011",
  994.         "00110011",
  995.         "00111110",
  996.         "00110000",
  997.         "00011111",
  998.         "00000000",
  999.         "00000000",
  1000.         "00111111",
  1001.         "00011001",
  1002.         "00001100",
  1003.         "00100110",
  1004.         "00111111",
  1005.         "00000000",
  1006.         "00111000",
  1007.         "00001100",
  1008.         "00001100",
  1009.         "00000111",
  1010.         "00001100",
  1011.         "00001100",
  1012.         "00111000",
  1013.         "00000000",
  1014.         "00011000",
  1015.         "00011000",
  1016.         "00011000",
  1017.         "00000000",
  1018.         "00011000",
  1019.         "00011000",
  1020.         "00011000",
  1021.         "00000000",
  1022.         "00000111",
  1023.         "00001100",
  1024.         "00001100",
  1025.         "00111000",
  1026.         "00001100",
  1027.         "00001100",
  1028.         "00000111",
  1029.         "00000000",
  1030.         "01101110",
  1031.         "00111011",
  1032.         "00000000",
  1033.         "00000000",
  1034.         "00000000",
  1035.         "00000000",
  1036.         "00000000",
  1037.         "00000000",
  1038.         "00000000",
  1039.         "00000000",
  1040.         "00000000",
  1041.         "00000000",
  1042.         "00000000",
  1043.         "00000000",
  1044.         "00000000",
  1045.         "00000000"
  1046.     );
  1047.    
  1048. begin
  1049.  
  1050.     p_Pixels: process (CLOCK) is
  1051.        
  1052.         variable v_GlyphPosition : integer range 0 to 1023;
  1053.         variable v_BitPosition   : integer range 0 to 7;
  1054.        
  1055.     begin
  1056.         v_GlyphPosition := (to_integer(unsigned(GLYPH)) * 8) + to_integer(unsigned(ROW));
  1057.         v_BitPosition := to_integer(unsigned(COLUMN));
  1058.        
  1059.         if rising_edge(CLOCK) then
  1060.             SET_BIT <= r_Font(v_GlyphPosition)(v_BitPosition);
  1061.         end if;
  1062.     end process p_Pixels;
  1063.    
  1064. end RTL;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement