Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- library ieee;
- use ieee.std_logic_1164.ALL;
- use ieee.numeric_std.ALL;
- entity SystemFont is
- port(
- CLOCK : in std_logic;
- GLYPH : in std_logic_vector(6 downto 0);
- COLUMN : in std_logic_vector(3 downto 0);
- ROW : in std_logic_vector(3 downto 0);
- SET_BIT : out std_logic
- );
- end SystemFont;
- architecture RTL of SystemFont is
- type t_Font is array (0 to (128*8)-1) of std_logic_vector(7 downto 0);
- -- ROM definition
- signal r_Font : t_Font := (
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00011000",
- "00111100",
- "00111100",
- "00011000",
- "00011000",
- "00000000",
- "00011000",
- "00000000",
- "00110110",
- "00110110",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00110110",
- "00110110",
- "01111111",
- "00110110",
- "01111111",
- "00110110",
- "00110110",
- "00000000",
- "00001100",
- "00111110",
- "00000011",
- "00011110",
- "00110000",
- "00011111",
- "00001100",
- "00000000",
- "00000000",
- "01100011",
- "00110011",
- "00011000",
- "00001100",
- "01100110",
- "01100011",
- "00000000",
- "00011100",
- "00110110",
- "00011100",
- "01101110",
- "00111011",
- "00110011",
- "01101110",
- "00000000",
- "00000110",
- "00000110",
- "00000011",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00011000",
- "00001100",
- "00000110",
- "00000110",
- "00000110",
- "00001100",
- "00011000",
- "00000000",
- "00000110",
- "00001100",
- "00011000",
- "00011000",
- "00011000",
- "00001100",
- "00000110",
- "00000000",
- "00000000",
- "01100110",
- "00111100",
- "11111111",
- "00111100",
- "01100110",
- "00000000",
- "00000000",
- "00000000",
- "00001100",
- "00001100",
- "00111111",
- "00001100",
- "00001100",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00001100",
- "00001100",
- "00000110",
- "00000000",
- "00000000",
- "00000000",
- "00111111",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00001100",
- "00001100",
- "00000000",
- "01100000",
- "00110000",
- "00011000",
- "00001100",
- "00000110",
- "00000011",
- "00000001",
- "00000000",
- "00111110",
- "01100011",
- "01110011",
- "01111011",
- "01101111",
- "01100111",
- "00111110",
- "00000000",
- "00001100",
- "00001110",
- "00001100",
- "00001100",
- "00001100",
- "00001100",
- "00111111",
- "00000000",
- "00011110",
- "00110011",
- "00110000",
- "00011100",
- "00000110",
- "00110011",
- "00111111",
- "00000000",
- "00011110",
- "00110011",
- "00110000",
- "00011100",
- "00110000",
- "00110011",
- "00011110",
- "00000000",
- "00111000",
- "00111100",
- "00110110",
- "00110011",
- "01111111",
- "00110000",
- "01111000",
- "00000000",
- "00111111",
- "00000011",
- "00011111",
- "00110000",
- "00110000",
- "00110011",
- "00011110",
- "00000000",
- "00011100",
- "00000110",
- "00000011",
- "00011111",
- "00110011",
- "00110011",
- "00011110",
- "00000000",
- "00111111",
- "00110011",
- "00110000",
- "00011000",
- "00001100",
- "00001100",
- "00001100",
- "00000000",
- "00011110",
- "00110011",
- "00110011",
- "00011110",
- "00110011",
- "00110011",
- "00011110",
- "00000000",
- "00011110",
- "00110011",
- "00110011",
- "00111110",
- "00110000",
- "00011000",
- "00001110",
- "00000000",
- "00000000",
- "00001100",
- "00001100",
- "00000000",
- "00000000",
- "00001100",
- "00001100",
- "00000000",
- "00000000",
- "00001100",
- "00001100",
- "00000000",
- "00000000",
- "00001100",
- "00001100",
- "00000110",
- "00011000",
- "00001100",
- "00000110",
- "00000011",
- "00000110",
- "00001100",
- "00011000",
- "00000000",
- "00000000",
- "00000000",
- "00111111",
- "00000000",
- "00000000",
- "00111111",
- "00000000",
- "00000000",
- "00000110",
- "00001100",
- "00011000",
- "00110000",
- "00011000",
- "00001100",
- "00000110",
- "00000000",
- "00011110",
- "00110011",
- "00110000",
- "00011000",
- "00001100",
- "00000000",
- "00001100",
- "00000000",
- "00111110",
- "01100011",
- "01111011",
- "01111011",
- "01111011",
- "00000011",
- "00011110",
- "00000000",
- "00001100",
- "00011110",
- "00110011",
- "00110011",
- "00111111",
- "00110011",
- "00110011",
- "00000000",
- "00111111",
- "01100110",
- "01100110",
- "00111110",
- "01100110",
- "01100110",
- "00111111",
- "00000000",
- "00111100",
- "01100110",
- "00000011",
- "00000011",
- "00000011",
- "01100110",
- "00111100",
- "00000000",
- "00011111",
- "00110110",
- "01100110",
- "01100110",
- "01100110",
- "00110110",
- "00011111",
- "00000000",
- "01111111",
- "01000110",
- "00010110",
- "00011110",
- "00010110",
- "01000110",
- "01111111",
- "00000000",
- "01111111",
- "01000110",
- "00010110",
- "00011110",
- "00010110",
- "00000110",
- "00001111",
- "00000000",
- "00111100",
- "01100110",
- "00000011",
- "00000011",
- "01110011",
- "01100110",
- "01111100",
- "00000000",
- "00110011",
- "00110011",
- "00110011",
- "00111111",
- "00110011",
- "00110011",
- "00110011",
- "00000000",
- "00011110",
- "00001100",
- "00001100",
- "00001100",
- "00001100",
- "00001100",
- "00011110",
- "00000000",
- "01111000",
- "00110000",
- "00110000",
- "00110000",
- "00110011",
- "00110011",
- "00011110",
- "00000000",
- "01100111",
- "01100110",
- "00110110",
- "00011110",
- "00110110",
- "01100110",
- "01100111",
- "00000000",
- "00001111",
- "00000110",
- "00000110",
- "00000110",
- "01000110",
- "01100110",
- "01111111",
- "00000000",
- "01100011",
- "01110111",
- "01111111",
- "01111111",
- "01101011",
- "01100011",
- "01100011",
- "00000000",
- "01100011",
- "01100111",
- "01101111",
- "01111011",
- "01110011",
- "01100011",
- "01100011",
- "00000000",
- "00011100",
- "00110110",
- "01100011",
- "01100011",
- "01100011",
- "00110110",
- "00011100",
- "00000000",
- "00111111",
- "01100110",
- "01100110",
- "00111110",
- "00000110",
- "00000110",
- "00001111",
- "00000000",
- "00011110",
- "00110011",
- "00110011",
- "00110011",
- "00111011",
- "00011110",
- "00111000",
- "00000000",
- "00111111",
- "01100110",
- "01100110",
- "00111110",
- "00110110",
- "01100110",
- "01100111",
- "00000000",
- "00011110",
- "00110011",
- "00000111",
- "00001110",
- "00111000",
- "00110011",
- "00011110",
- "00000000",
- "00111111",
- "00101101",
- "00001100",
- "00001100",
- "00001100",
- "00001100",
- "00011110",
- "00000000",
- "00110011",
- "00110011",
- "00110011",
- "00110011",
- "00110011",
- "00110011",
- "00111111",
- "00000000",
- "00110011",
- "00110011",
- "00110011",
- "00110011",
- "00110011",
- "00011110",
- "00001100",
- "00000000",
- "01100011",
- "01100011",
- "01100011",
- "01101011",
- "01111111",
- "01110111",
- "01100011",
- "00000000",
- "01100011",
- "01100011",
- "00110110",
- "00011100",
- "00011100",
- "00110110",
- "01100011",
- "00000000",
- "00110011",
- "00110011",
- "00110011",
- "00011110",
- "00001100",
- "00001100",
- "00011110",
- "00000000",
- "01111111",
- "01100011",
- "00110001",
- "00011000",
- "01001100",
- "01100110",
- "01111111",
- "00000000",
- "00011110",
- "00000110",
- "00000110",
- "00000110",
- "00000110",
- "00000110",
- "00011110",
- "00000000",
- "00000011",
- "00000110",
- "00001100",
- "00011000",
- "00110000",
- "01100000",
- "01000000",
- "00000000",
- "00011110",
- "00011000",
- "00011000",
- "00011000",
- "00011000",
- "00011000",
- "00011110",
- "00000000",
- "00001000",
- "00011100",
- "00110110",
- "01100011",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "11111111",
- "00001100",
- "00001100",
- "00011000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00011110",
- "00110000",
- "00111110",
- "00110011",
- "01101110",
- "00000000",
- "00000111",
- "00000110",
- "00000110",
- "00111110",
- "01100110",
- "01100110",
- "00111011",
- "00000000",
- "00000000",
- "00000000",
- "00011110",
- "00110011",
- "00000011",
- "00110011",
- "00011110",
- "00000000",
- "00111000",
- "00110000",
- "00110000",
- "00111110",
- "00110011",
- "00110011",
- "01101110",
- "00000000",
- "00000000",
- "00000000",
- "00011110",
- "00110011",
- "00111111",
- "00000011",
- "00011110",
- "00000000",
- "00011100",
- "00110110",
- "00000110",
- "00001111",
- "00000110",
- "00000110",
- "00001111",
- "00000000",
- "00000000",
- "00000000",
- "01101110",
- "00110011",
- "00110011",
- "00111110",
- "00110000",
- "00011111",
- "00000111",
- "00000110",
- "00110110",
- "01101110",
- "01100110",
- "01100110",
- "01100111",
- "00000000",
- "00001100",
- "00000000",
- "00001110",
- "00001100",
- "00001100",
- "00001100",
- "00011110",
- "00000000",
- "00110000",
- "00000000",
- "00110000",
- "00110000",
- "00110000",
- "00110011",
- "00110011",
- "00011110",
- "00000111",
- "00000110",
- "01100110",
- "00110110",
- "00011110",
- "00110110",
- "01100111",
- "00000000",
- "00001110",
- "00001100",
- "00001100",
- "00001100",
- "00001100",
- "00001100",
- "00011110",
- "00000000",
- "00000000",
- "00000000",
- "00110011",
- "01111111",
- "01111111",
- "01101011",
- "01100011",
- "00000000",
- "00000000",
- "00000000",
- "00011111",
- "00110011",
- "00110011",
- "00110011",
- "00110011",
- "00000000",
- "00000000",
- "00000000",
- "00011110",
- "00110011",
- "00110011",
- "00110011",
- "00011110",
- "00000000",
- "00000000",
- "00000000",
- "00111011",
- "01100110",
- "01100110",
- "00111110",
- "00000110",
- "00001111",
- "00000000",
- "00000000",
- "01101110",
- "00110011",
- "00110011",
- "00111110",
- "00110000",
- "01111000",
- "00000000",
- "00000000",
- "00111011",
- "01101110",
- "01100110",
- "00000110",
- "00001111",
- "00000000",
- "00000000",
- "00000000",
- "00111110",
- "00000011",
- "00011110",
- "00110000",
- "00011111",
- "00000000",
- "00001000",
- "00001100",
- "00111110",
- "00001100",
- "00001100",
- "00101100",
- "00011000",
- "00000000",
- "00000000",
- "00000000",
- "00110011",
- "00110011",
- "00110011",
- "00110011",
- "01101110",
- "00000000",
- "00000000",
- "00000000",
- "00110011",
- "00110011",
- "00110011",
- "00011110",
- "00001100",
- "00000000",
- "00000000",
- "00000000",
- "01100011",
- "01101011",
- "01111111",
- "01111111",
- "00110110",
- "00000000",
- "00000000",
- "00000000",
- "01100011",
- "00110110",
- "00011100",
- "00110110",
- "01100011",
- "00000000",
- "00000000",
- "00000000",
- "00110011",
- "00110011",
- "00110011",
- "00111110",
- "00110000",
- "00011111",
- "00000000",
- "00000000",
- "00111111",
- "00011001",
- "00001100",
- "00100110",
- "00111111",
- "00000000",
- "00111000",
- "00001100",
- "00001100",
- "00000111",
- "00001100",
- "00001100",
- "00111000",
- "00000000",
- "00011000",
- "00011000",
- "00011000",
- "00000000",
- "00011000",
- "00011000",
- "00011000",
- "00000000",
- "00000111",
- "00001100",
- "00001100",
- "00111000",
- "00001100",
- "00001100",
- "00000111",
- "00000000",
- "01101110",
- "00111011",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000",
- "00000000"
- );
- begin
- p_Pixels: process (CLOCK) is
- variable v_GlyphPosition : integer range 0 to 1023;
- variable v_BitPosition : integer range 0 to 7;
- begin
- v_GlyphPosition := (to_integer(unsigned(GLYPH)) * 8) + to_integer(unsigned(ROW));
- v_BitPosition := to_integer(unsigned(COLUMN));
- if rising_edge(CLOCK) then
- SET_BIT <= r_Font(v_GlyphPosition)(v_BitPosition);
- end if;
- end process p_Pixels;
- end RTL;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement