Advertisement
fellpz

projeto_1

Mar 31st, 2017
152
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.75 KB | None | 0 0
  1. --  Porta lógica AND descrita em VHDL
  2. --
  3. --  Duas entradas: a e b
  4. --
  5. --  Uma saida: o
  6.  
  7.  
  8. --
  9.  
  10.     library IEEE;                       -- Indica utiliza as bibliotecas do Instuto de Engenheiros Elétricos e Eletrônicos
  11.     use IEEE.std_logic_1164.all;    -- Utiliza a biblioteca padrão 1164
  12.    
  13.    
  14.     entity projeto_1 is port            -- Declaraçao da entidade
  15.     (
  16.       a :  in  std_logic;               -- entrada digital a
  17.       b :  in  std_logic;               -- entrada digital b
  18.       o :  out std_logic                -- saída digital ox
  19.  
  20.     );
  21.     end projeto_1;                          -- final da declaraçao da entidade
  22.    
  23.     -- início da arquitetura
  24.     architecture hardware of projeto_1 is
  25.     begin                                   -- inicia o hardware
  26.    
  27.     o <= a AND b;                       -- saida o recebe a operaçao lógica E com b
  28.    
  29.     end hardware;                       -- final do hardware
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement