Advertisement
RomanGovor

Untitled

Sep 20th, 2021
1,082
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 2.70 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date: 20.09.2021 01:21:07
  6. -- Design Name:
  7. -- Module Name: shift_reg - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool Versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20.  
  21.  
  22. library IEEE;
  23. use IEEE.STD_LOGIC_1164.ALL;
  24.  
  25. -- Uncomment the following library declaration if using
  26. -- arithmetic functions with Signed or Unsigned values
  27. --use IEEE.NUMERIC_STD.ALL;
  28.  
  29. -- Uncomment the following library declaration if instantiating
  30. -- any Xilinx leaf cells in this code.
  31. --library UNISIM;
  32. --use UNISIM.VComponents.all;
  33.  
  34. entity shift_reg is
  35.     Port ( not_g : in std_logic;
  36.            not_srclr : in std_logic;
  37.            not_srcken : in std_logic;
  38.            srck : in std_logic;
  39.            not_srload : in std_logic;
  40.            ds : in std_logic;
  41.            ser0 : in std_logic;
  42.            ser1 : in std_logic;
  43.            rck : in std_logic;
  44.            a_qa : inout std_logic;
  45.            b_qb : inout std_logic;
  46.            c_qc : inout std_logic;
  47.            d_qd : inout std_logic;
  48.            e_qe : inout std_logic;
  49.            f_qf : inout std_logic;
  50.            g_qg : inout std_logic;
  51.            h_qh : inout std_logic;
  52.            qh_last : out std_logic);
  53. end shift_reg;
  54.  
  55. architecture Behavioral of shift_reg is
  56. component jk is
  57.     Port (
  58.        not_r : in std_logic;
  59.        not_s : in std_logic;
  60.        j : in std_logic;
  61.        k : in std_logic;
  62.        clk : in std_logic;
  63.        q : out std_logic;
  64.        not_q : out std_logic
  65.        );
  66. end component;
  67.  
  68. signal jk1_q: std_logic;
  69. signal jk1_notq: std_logic;
  70.  
  71. signal jk2_q: std_logic;
  72. signal jk2_notq: std_logic;
  73.  
  74. signal jk3_q: std_logic;
  75. signal jk3_notq: std_logic;
  76.  
  77. signal jk4_q: std_logic;
  78. signal jk4_notq: std_logic;
  79.  
  80. signal jk5_q: std_logic;
  81. signal jk5_notq: std_logic;
  82.  
  83. signal jk6_q: std_logic;
  84. signal jk6_notq: std_logic;
  85.  
  86. signal jk7_q: std_logic;
  87. signal jk7_notq: std_logic;
  88.  
  89.  
  90. signal jk8_q: std_logic;
  91. signal jk8_notq: std_logic;
  92.  
  93. signal jk9_q: std_logic;
  94. signal jk9_notq: std_logic;
  95.  
  96. signal jk10_q: std_logic;
  97. signal jk10_notq: std_logic;
  98.  
  99. signal jk11_q: std_logic;
  100. signal jk11_notq: std_logic;
  101.  
  102. signal jk12_q: std_logic;
  103. signal jk12_notq: std_logic;
  104.  
  105. signal jk13_q: std_logic;
  106. signal jk13_notq: std_logic;
  107.  
  108.  
  109. signal jk14_q: std_logic;
  110. signal jk14_notq: std_logic;
  111.  
  112. signal jk15_q: std_logic;
  113. signal jk15_notq: std_logic;
  114.  
  115. signal jk16_q: std_logic;
  116. signal jk16_notq: std_logic;
  117.  
  118. begin
  119.  
  120.  
  121. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement