Advertisement
Guest User

Untitled

a guest
Mar 27th, 2017
64
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.34 KB | None | 0 0
  1. process(clk, rst)
  2.     variable xored : std_logic_vector(15 downto 0);
  3.     begin
  4.         if(rst = '1') then
  5.             state <= load;
  6.             seed  <= load;
  7.         elsif(rising_edge(clk)) then           
  8.             if(state(0) = '1') then
  9.                 xored := state xor x"B400";        
  10.             end if;
  11.             xored(15 - 1 downto 0) := xored(15 downto 0 + 1);
  12.             state <= xored;
  13.         end if;
  14.     end process;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement