Advertisement
sunu

Sborka.lib

Dec 17th, 2019
4,448
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 346.10 KB | None | 0 0
  1. * Rev 2 - May 2013
  2. .SUBCKT MC33063A SwC SwE Osc Com Inv Vcc Ipk DrC ; Rev.2
  3. .param tdt=10n
  4. C1 Vcc COM 20p
  5. I1 Vcc ref TBL(0 0 2.5 2m8 3.5 3m1 40 3m4)
  6. D1 COM ref 1V25
  7. E1 C 0 ref COM 1
  8. A1 ref Inv 0 0 0 0 B 0 SCHMITT Vt=0 Vh=1m tripdt={tdt}
  9. A2 COM Osc 0 0 0 2 A 0 SCHMITT Vt=-0.9 Vh=0.35 trise=50n tfall=0u9 tripdt={tdt}
  10. A3 2 0 0 0 0 0 R 0 BUF ref=0.1 tau=20n tripdt={tdt}
  11. A4 A 0 B 0 C 0 S 0 AND ref=0.9 td=0u2 tripdt={tdt}
  12. A5 S R 0 0 0 0 Q 0 SRFLOP tau=0u1 tripdt={tdt}
  13. I2 S R pulse(5 0 0 1n) ; force f/f reset at startup
  14. G1 COM 3 ref COM 0m5
  15. R1 COM 3 10k
  16. C2 Osc 3 12p
  17. S1 COM 3 R 0 bump
  18. S2 COM Osc R 0 sink
  19. I3 Vcc Osc TBL(2 0 3 35u)
  20. R2 1 Vcc 10k
  21. C3 1 Ipk 10p
  22. S3 Osc Vcc 1 Ipk comp
  23. C4 DrC COM 10p
  24. S4 DrB Vcc Q 0 drive
  25. R3 DrE SwE 100
  26. Q1 SwC DrE SwE 0 sw
  27. D2 COM Osc Dsub
  28. R4 COM 1 1e7
  29. Q2 DrC DrB DrE 0 sw m=85m
  30. .model Dsub d Ron=1 Vfwd=0.6 Epsilon=0.2
  31. .model 1V25 d Ron=1 Roff=466 Vrev=1.25 revEpsilon=10m
  32. .model comp sw level 2 Vt=290m Vh=-100m Ron=300 Roff=1e7 Ilimit=15m
  33. .model sink sw level 2 Vt=0.1 Vh=-1m Ron=1k Roff=1e7 Ilimit=255u Vser=0.1
  34. .model bump sw level 2 Vt=0.1 Vh=-1m Ron=1e7 Roff=1k
  35. .model drive sw level 2 Vt=300m Vh=-10m Ron=200 Roff=1e8 Ilimit=0m7
  36. .model sw npn Is=50f Bf=130 Br=10 Ikf=1.5 Rb=1 Re=30m Rc=0.4 Cje=100p Cjc=20p
  37. .ENDS MC33063A
  38.  
  39. .subckt SWeq 1 2 x=1 y=1 Rmin=1u Rmax=1T
  40. .func EQ(a,b) if((a>b)|(b>a),0,1)
  41. R 1 2 R=if(EQ(x,y),Rmin,Rmax)
  42. .ends SWeq
  43.  
  44. .subckt Rb P M params: Rmax=10 Tau=1u K=10
  45. V1 P s 0
  46. B1 s M I=V(s,M)/(Rmax*(1-tanh(k*v(q))))
  47. B2 0 q I=i(v1) Rpar=1
  48. C1 q 0 {Tau}
  49. .ends Rb
  50.  
  51. .subckt MultCurrent A B M=5
  52. V A B 0
  53. B A 0 I=(M-1)*i(v)
  54. .ends MultCurrent
  55.  
  56. .subckt ZXCT1022 GND V+ Vout Vs
  57. R1 Vs R1_N 1Meg
  58. R2 V+ GND 570k
  59. XARB1 R1_N V+ Vout arbsourceARB1
  60. .subckt arbsourceARB1 N1 N2 OUT
  61. B1 OUT 0 V=uramp(((V(n2)-V(n1))*100)+30m)
  62. .ends
  63. C1 R1_N V+ 3p
  64. .ends ZXCT1022
  65.  
  66.  
  67. .subckt Lowdrop 1 2 3 Vout=5 Rout=0.1 Imax=0.5 Dropmin=0.2
  68. * in gnd out
  69. S1 3 1 2 3 reg
  70. r 2 3 1Gig
  71. .model reg sw level=2 Vt={-Vout} Vh=-4m Ron={Rout} Roff=1G Ilimit={Imax} Vser={Dropmin}
  72. .ends Lowdrop
  73.  
  74. .subckt LowdropT 1 2 3 Vout=5 Rout=0.1 Imax=0.5 Dropmin=0.2 tau=1u
  75. * in gnd out
  76. S1 3 1 2 30 reg
  77. R 3 30 1k
  78. rx 2 3 1Gig
  79. C 30 2 {tau/1k}
  80. .model reg sw level=2 Vt={-Vout} Vh=-4m Ron={Rout} Roff=1G Ilimit={Imax} Vser={Dropmin}
  81. .ends LowdropT
  82.  
  83.  
  84. .subckt Rwire n1 n2 length=1 D=0 S=0 N=1 ro=0.0175u
  85. * Cu-0.0175u, Al=0.028u
  86. R n1 n2 {ro*length*N/(0.7854*d*d+S)}
  87. .ends Rwire
  88. .Subckt Lwire N1 N2 length=1 D=1m
  89. L1 N1 N2 {200n*length*(log(length/D)+0.389*D/length+0.636)}
  90. .ends Lwire
  91. .subckt Cparasitic 1 C=50F
  92. C 1 0 {c}
  93. .ends
  94. .subckt Lparasitic n1 n2 L=4n Rs=1m Rp=1k
  95. L n1 n2 {L} rser={Rs} Rpar={Rp}
  96. .ends
  97.  
  98. .subckt resUS 1 2 R=1K Cp=0.1p Ls=1n Rmin=1
  99. C 1 2 {Cp} Lser={Ls} Rpar={R} Rser={Rmin}
  100. .ends
  101.  
  102. * node assignments
  103. * c1
  104. * | b1
  105. * | | e1
  106. * | | | e2
  107. * | | | | b2
  108. * | | | | | c2
  109. * | | | | | |
  110. .subckt mat03 1 2 3 5 6 7
  111. q1 1 2 3 pmat
  112. q2 7 6 5 pmat
  113. d1 2 3 dmat1
  114. d2 6 5 dmat1
  115. d3 3 4 dmat1
  116. d4 5 4 dmat1
  117. d5 1 4 dmat2
  118. d6 7 4 dmat2
  119. .model dmat1 d(is=7.2e-16 rs=20)
  120. .model dmat2 d(is=1e-14 vj=0.6 cjo=68p)
  121. .model pmat pnp(bf=160 is=1.4e-13 vaf=60 br=5 var=7 rb=16 rc=12 re=0.35 cje=57p vje=0.7 mje=0.4 tf=1.08n tr=3e-8 cjc=40p vjc=0.55 mjc=0.5 ikf=160m)
  122. .ends
  123.  
  124. .Subckt L200CV IN LIM GND REF OUT
  125. rt in 7 1Meg
  126. bt in 7 i=0.001*uramp(1-uramp(1-v(in,7)/0.3))
  127. I1 IN LIM DC 1u
  128. B4 N2 GND i=uramp(v(out,lim)-0.45)
  129. R4 13 outv 10K
  130. B3 N1 N2 i=uramp(v(n1,n2)-0.1)
  131. V1 N1 GND DC 0.7
  132. B2 N2 GND i=uramp(v(outv,out)-0.05)
  133. R3 7 N2 10K
  134. C1 N2 refv 100p
  135. Q3 GND N2 7 p
  136. R2 outv OUT 16m
  137. Q2 IN 13 outv n area=10
  138. Q1 IN 7 13 n
  139. c 7 gnd 3p
  140. bg1 n2 gnd i=uramp(v(refv,opor))
  141. R1 refv REF 10K
  142. B1 opor GND v=2.77*u(v(in,gnd)-4.5)
  143. .model n npn cje=2p tf=1n
  144. .model p pnp tf=30n bf=20
  145. .ends L200CV
  146.  
  147. * Photoresistor
  148. .subckt NORP12 Light R+ R-
  149. B1 R+ R- I=V(R+,R-)*V(l1)**0.76/113k
  150. E1 N001 0 Light 0 1
  151. Rdark R+ R- 50MEG
  152. C1 l1 0 Q=51.95u*ln(1+ABS(x)*7.8m)
  153. C2 R+ R- 3.5p
  154. D2 N001 l1 Dsw
  155. R1 Light 0 1K
  156. .model dsw D(Ron=17k Roff=100k Vfwd=0)
  157. .ends norp12
  158.  
  159. .subckt L-93WSRSGC 1 2 3
  160. Dr 1 2 red
  161. Dg 3 2 green
  162. .model red d is=4.844e-22 rs=2.964 N=1.5339 Cjo=45p Eg=2 Vj=2 m=0.2
  163. .model green d is=3.2938e-21 rs=8.556 N=1.8107 Cjo=15p Eg=2.4 Vj=2.5 m=0.2
  164. .ends
  165.  
  166. *
  167. .subckt SWoff 1 2
  168. roff 1 2 100Gig
  169. .ends
  170.  
  171. .subckt SWon 1 2
  172. Vkz 1 2 0
  173. .ends
  174.  
  175. .subckt vilka2 1 2
  176. r1 1 0 1Gig
  177. r2 2 0 1Gig
  178. .ends vilka2
  179.  
  180. .subckt vilka3 1 2 3
  181. r1 1 0 1Gig
  182. r2 2 0 1Gig
  183. r3 3 0 1Gig
  184. .ends vilka3
  185.  
  186. .subckt vilka5 1 2 3 4 5
  187. r1 1 0 1Gig
  188. r2 2 0 1Gig
  189. r3 3 0 1Gig
  190. r4 4 0 1Gig
  191. r5 5 0 1gig
  192. .ends vilka5
  193.  
  194. .subckt vilka6 1 2 3 4 5 6
  195. r1 1 0 1Gig
  196. r2 2 0 1Gig
  197. r3 3 0 1Gig
  198. r4 4 0 1Gig
  199. r5 5 0 1gig
  200. r6 6 0 1gig
  201. .ends vilka6
  202.  
  203. .subckt rozetka2 1 2
  204. r1 1 0 1Gig
  205. r2 2 0 1Gig
  206. .ends rozetka2
  207.  
  208. .subckt rozetka3 1 2 3
  209. r1 1 0 1Gig
  210. r2 2 0 1Gig
  211. r3 3 0 1Gig
  212. .ends rozetka3
  213.  
  214. .subckt rozetka5 1 2 3 4 5
  215. r1 1 0 1Gig
  216. r2 2 0 1Gig
  217. r3 3 0 1Gig
  218. r4 4 0 1Gig
  219. r5 5 0 1gig
  220. .ends rozetka5
  221.  
  222. .subckt rozetka6 1 2 3 4 5 6
  223. r1 1 0 1Gig
  224. r2 2 0 1Gig
  225. r3 3 0 1Gig
  226. r4 4 0 1Gig
  227. r5 5 0 1Gig
  228. r6 6 0 1gig
  229. .ends rozetka6
  230.  
  231. .subckt GND1 1
  232. vkz 1 0 0
  233. .ends GND1
  234.  
  235. .subckt g_loop L I1 I2 B COM H
  236. G1 COM N001 L I1 {1ยต/(A*N)}
  237. C1 N001 COM 1ยต
  238. E1 B COM N001 COM 1
  239. V1 I1 I2 0
  240. H1 H COM V1 {N/Lm}
  241. .ends g_loop
  242.  
  243.  
  244.  
  245. .SUBCKT Shock_Sensor 1 3 p Cpar=480pf G=0.153p
  246. C0 1 2 {Cpar} Rpar=500Meg
  247. E 2 3 p 0 {G/Cpar}
  248. .ENDS
  249.  
  250. .SUBCKT XTAL_param 1 2 FREQ=1Meg Rser=750 Cpar=13pf Q=1000
  251. + Rpar=1e24 IC_L_current=0.5m IC__C_Volt=0
  252. LX 1 3 {((Q*RSer)/(2*PI*FREQ))} IC={IC_L_current} Rser={RSer} Rpar=1e24
  253. CX 3 2 {(1/(Q*2*PI*FREQ*RSer))} ic={IC__C_Volt}
  254. C0 1 2 {Cpar} Rpar={Rpar}
  255. .ENDS
  256.  
  257. * K=Cpar/Cx -capacitive ratio
  258. .SUBCKT XTAL_param2 1 2 FREQ=32768 Rser=30k Cpar=1pf K=400
  259. + Rpar=1e24 IC_L_current=1u
  260. LX 1 3 {K/((2*PI*FREQ)**2*Cpar)} IC={IC_L_current} Rser={RSer} Rpar=1e24
  261. CX 3 2 {Cpar/K}
  262. C0 1 2 {Cpar} Rpar={Rpar}
  263. .ENDS
  264.  
  265. .Subckt CRYSTAL_9_OVERTONE 1 2 Freq=10Meg C0=3p K=300
  266. + Rm1=20 rm3=50 rm5=100 rm7=150 rm9=200 ic1=100u ic3=100u ic5=100u ic7=100u ic9=100u
  267. .param Cm1=C0/K
  268. .param W=2*Pi*Freq
  269. .param Lm=1/(W**2*Cm1)
  270. Lm1 1 3 {Lm} ic={ic1} Rser={Rm1} Rpar=1e24
  271. Lm3 1 4 {Lm} ic={ic3} Rser={Rm3} Rpar=1e24
  272. Lm5 1 5 {Lm} ic={ic5} Rser={Rm5} Rpar=1e24
  273. Lm7 1 6 {Lm} ic={ic7} Rser={Rm7} Rpar=1e24
  274. Lm9 1 7 {Lm} ic={ic9} Rser={Rm9} Rpar=1e24
  275. Cm1 3 2 {Cm1}
  276. Cm3 4 2 {Cm1/9}
  277. Cm5 5 2 {Cm1/25}
  278. Cm7 6 2 {Cm1/49}
  279. Cm9 7 2 {Cm1/81}
  280. C0 1 2 {C0} Rpar=1e24
  281. .ends
  282.  
  283.  
  284. .subckt BridgeRectifier Vin1 Vin2 V- V+ Vf=0.6 r=1m Rut=1Gig
  285. d1 vin1 V+ did
  286. d2 vin2 V+ did
  287. d3 v- vin1 did
  288. d4 v- Vin2 did
  289. .model did d Ron={r} Roff={Rut} Vfwd={vf}
  290. .ends BridgeRectifier
  291.  
  292. .Subckt ntc_resistor n1 n2
  293. B1 n1 n2 i=v(n1,n2)/{R0}*exp({b}*(1/(273+{T0})-1/(273+TEMP)))
  294. .ends
  295.  
  296. .Subckt ntc_resistorT n1 n2 T
  297. B1 n1 n2 i=v(n1,n2)/{R0}*exp({b}*(1/(273+{T0})-1/(273+V(T))))
  298. .ends
  299.  
  300. *
  301. .SUBCKT PDTA143XT 1 2 3
  302. *
  303. R1 1 22 4.7k
  304. Cres1 1 3 4.3E-13
  305. R21 22 11 5k
  306. R22 11 2 5k
  307. Cres2 11 3 1.3E-13
  308. *
  309. Q1 3 22 2 PDTA143XT
  310. *
  311. .MODEL PDTA143XT PNP
  312. + IS=2.014E-14 NF=0.9974
  313. + ISE=6.578f NE=1.45 BF=339.3 IKF=0.079 VAF=39.15 NR=0.9952 ISC=1.948p
  314. + NC=1.55 BR=12.01 IKR=0.015 VAR=16.5 RB=10 IRB=5E-06 RBM=5E-06 RE=0.673 RC=0.718
  315. + CJE=1.104E-11 VJE=0.9 MJE=0.2748 TF=1E-32 XTF=0 VTF=1E+03 ITF=0 PTF=0 CJC=6.4p
  316. + VJC=0.499 MJC=0.4435 XTB=0 XTI=3 EG=1.11 FC=0.5
  317. .ENDS
  318.  
  319. .SUBCKT PDTA143ET 1 2 3
  320. *
  321. R1 1 22 4.7E+03
  322. Cres1 1 3 4.3E-13
  323. R21 22 11 2.35E+03
  324. R22 11 2 2.35E+03
  325. Cres2 11 3 1.4E-13
  326. *
  327. Q1 3 22 2 PDTA143ET
  328. *
  329. .MODEL PDTA143ET PNP
  330. + IS=2.014E-14
  331. + NF=0.9974
  332. + ISE=6.578f
  333. + NE=1.45
  334. + BF=339.3
  335. + IKF=0.079
  336. + VAF=39.15
  337. + NR=0.9952
  338. + ISC=1.948p
  339. + NC=1.55
  340. + BR=12.01
  341. + IKR=0.015
  342. + VAR=16.5
  343. + RB=10
  344. + IRB=5E-06
  345. + RBM=5E-06
  346. + RE=0.673
  347. + RC=0.718
  348. + CJE=1.104E-11
  349. + VJE=0.9
  350. + MJE=0.2748
  351. + TF=1E-32
  352. + XTF=0
  353. + VTF=1E+03
  354. + ITF=0
  355. + PTF=0
  356. + CJC=6.4p
  357. + VJC=0.499
  358. + MJC=0.4435
  359. + XCJC=1
  360. + TR=1E-32
  361. + CJS=0
  362. + VJS=0.75
  363. + MJS=0.333
  364. + XTB=0
  365. + XTI=3
  366. + EG=1.11
  367. + FC=0.5
  368. .ENDS
  369. *
  370. .SUBCKT PDTA144ET 1 2 3
  371. *
  372. R1 1 22 4.7E+04
  373. Cres1 1 3 4.8E-13
  374. R21 22 11 2.35E+04
  375. R22 11 2 2.35E+04
  376. Cres2 11 3 1.5E-13
  377. *
  378. Q1 3 22 2 PDTA144ET
  379. *
  380. .MODEL PDTA144ET PNP
  381. + IS=2.014E-14
  382. + NF=0.9974
  383. + ISE=6.578f
  384. + NE=1.45
  385. + BF=339.3
  386. + IKF=0.079
  387. + VAF=39.15
  388. + NR=0.9952
  389. + ISC=1.948p
  390. + NC=1.55
  391. + BR=12.01
  392. + IKR=0.015
  393. + VAR=16.5
  394. + RB=10
  395. + IRB=5E-06
  396. + RBM=5E-06
  397. + RE=0.673
  398. + RC=0.718
  399. + CJE=1.104E-11
  400. + VJE=0.9
  401. + MJE=0.2748
  402. + TF=1E-32
  403. + XTF=0
  404. + VTF=1E+03
  405. + ITF=0
  406. + PTF=0
  407. + CJC=6.4p
  408. + VJC=0.499
  409. + MJC=0.4435
  410. + XCJC=1
  411. + TR=1E-32
  412. + CJS=0
  413. + VJS=0.75
  414. + MJS=0.333
  415. + XTB=0
  416. + XTI=3
  417. + EG=1.11
  418. + FC=0.5
  419. .ENDS
  420.  
  421.  
  422. *
  423. .SUBCKT PDTC143ET 1 2 3
  424. *
  425. R1 1 22 4.7E+03
  426. Cres1 1 3 4.3E-13
  427. R21 22 11 2.35E+03
  428. R22 11 2 2.35E+03
  429. Cres2 11 3 1.4E-13
  430. *
  431. Q1 3 22 2 PDTC143ET
  432. *
  433. .MODEL PDTC143ET NPN IS=1.822E-14 NF=0.9932 ISE=2.894E-16 NE=1.4 BF=354.4 IKF=0.246 VAF=182 NR=0.9931 ISC=9.982p NC=1.763 BR=14.99 IKR=0.05 VAR=17.9 RB=10 IRB=5E-06 RBM=5 RE=0.649 RC=1.101 XTB=0 EG=1.11 XTI=3 CJE=1.32E-11 VJE=0.8401 MJE=0.3622 XTF=0 VTF=1E+03 ITF=0 PTF=0 CJC=3.821p VJC=0.5986 MJC=0.3745 XCJC=0.6193 FC=0.5
  434. .ENDS
  435. *
  436. .SUBCKT PDTC144ET 1 2 3
  437. *
  438. R1 1 22 4.7E+04
  439. Cres1 1 3 4.8E-13
  440. R21 22 11 2.35E+04
  441. R22 11 2 2.35E+04
  442. Cres2 11 3 1.5E-13
  443. *
  444. Q1 3 22 2 PDTC144ET
  445. *
  446. .MODEL PDTC144ET NPN
  447. + IS=1.822E-14
  448. + NF=0.9932
  449. + ISE=2.894E-16
  450. + NE=1.4
  451. + BF=354.4
  452. + IKF=0.246
  453. + VAF=182
  454. + NR=0.9931
  455. + ISC=9.982p
  456. + NC=1.763
  457. + BR=14.99
  458. + IKR=0.05
  459. + VAR=17.9
  460. + RB=10
  461. + IRB=5E-06
  462. + RBM=5
  463. + RE=0.649
  464. + RC=1.101
  465. + XTB=0
  466. + EG=1.11
  467. + XTI=3
  468. + CJE=1.32E-11
  469. + VJE=0.8401
  470. + MJE=0.3622
  471. + TF=1E-32
  472. + XTF=0
  473. + VTF=1E+03
  474. + ITF=0
  475. + PTF=0
  476. + CJC=3.821p
  477. + VJC=0.5986
  478. + MJC=0.3745
  479. + XCJC=0.6193
  480. + TR=1E-32
  481. + CJS=0
  482. + VJS=0.75
  483. + MJS=0.333
  484. + FC=0.5
  485. .ENDS
  486.  
  487. .subckt DDTC144ECA 1 2 3
  488. Rb 1 11 47k
  489. rbe 11 0 47k
  490. q 3 11 2 DI_DDTC144
  491. .MODEL DI_DDTC144 NPN (IS=9.98f NF=1.00 BF=766 VAF=127
  492. + IKF=54.7m ISE=2.14p NE=2.00 BR=4.00 NR=1.00
  493. + VAR=24.0 IKR=0.135 RE=0.815 RB=3.26 RC=0.326
  494. + XTB=1.5 CJE=20.7p VJE=1.10 MJE=0.500 CJC=11.4p VJC=0.300
  495. + MJC=0.300 TF=567p TR=119n EG=1.12 )
  496. .ends
  497.  
  498. .subckt DDA143TU E1 B1 C2 C1 B2 E2
  499. R11 B1 1 4.7k
  500. R21 B2 2 4.7k
  501. q1 C1 1 E1 DI_DDA143TU
  502. q2 C2 2 E2 DI_DDA143TU
  503. .MODEL DI_DDA143TU PNP (IS=9.98f NF=1.00 BF=766 VAF=127
  504. + IKF=54.7m ISE=2.14p NE=2 BR=4 NR=1
  505. + VAR=24.0 IKR=0.135 RE=0.815 RB=3.26 RC=0.326
  506. + XTB=1.5 CJE=20.7p VJE=1.1 MJE=0.5 CJC=11.4p VJC=0.3
  507. + MJC=0.3 TF=567p TR=119n EG=1.12 )
  508. .ends DDA143TU
  509. .subckt DDA144EU E1 B1 C2 C1 B2 E2
  510. R11 B1 1 47k
  511. R12 1 E1 47k
  512. R21 B2 2 47k
  513. R22 2 E2 47k
  514. q1 C1 1 E1 DI_DDA144EU
  515. q2 C2 2 E2 DI_DDA144EU
  516. .MODEL DI_DDA144EU PNP (IS=9.98f NF=1.00 BF=766 VAF=127
  517. + IKF=54.7m ISE=2.14p NE=2 BR=4 NR=1
  518. + VAR=24.0 IKR=0.135 RE=0.815 RB=3.26 RC=0.326
  519. + XTB=1.5 CJE=20.7p VJE=1.1 MJE=0.5 CJC=11.4p VJC=0.3
  520. + MJC=0.3 TF=567p TR=119n EG=1.12 )
  521. .ends DDA144EU
  522. .subckt DDA123JU E1 B1 C2 C1 B2 E2
  523. R11 B1 1 2.2k
  524. R12 1 E1 47k
  525. R21 B2 2 2.2k
  526. R22 2 E2 47k
  527. q1 C1 1 E1 DI_DDA143EU
  528. q2 C2 2 E2 DI_DDA143EU
  529. .MODEL DI_DDA143EU PNP (IS=9.98f NF=1.00 BF=766 VAF=127
  530. + IKF=54.7m ISE=2.14p NE=2 BR=4 NR=1
  531. + VAR=24.0 IKR=0.135 RE=0.815 RB=3.26 RC=0.326
  532. + XTB=1.5 CJE=20.7p VJE=1.1 MJE=0.5 CJC=11.4p VJC=0.3
  533. + MJC=0.3 TF=567p TR=119n EG=1.12 )
  534. .ends DDA123JU
  535.  
  536. *Motorola NPN Low Noise, High-Frequency Transistor
  537. ********************
  538. * Version 1.0.1a
  539. .SUBCKT MRF9411 100 200 300
  540. LCL1 100 101 1.8E-10
  541. LCL2 101 102 1.8E-10
  542. LCL3 102 199 1.8E-10
  543. LBL1 200 201 1.3E-10
  544. LBL2 201 202 1.3E-10
  545. LBL3 202 203 1.3E-10
  546. LBB 203 299 6.5E-10
  547. LEL11 350 351 1.3E-10
  548. LEL12 351 352 1.3E-10
  549. LEL13 352 353 1.3E-10
  550. LEB1 353 399 6.5E-10
  551. LEL21 340 341 1.3E-10
  552. LEL22 341 342 1.3E-10
  553. LEL23 342 343 1.3E-10
  554. LEB2 343 399 6.5E-10
  555. CBE11 201 351 1E-14
  556. CBE12 202 352 1E-14
  557. CBE21 203 343 3E-14
  558. CCB 203 199 1E-14
  559. CCE21 101 341 1.2E-13
  560. CCE22 102 342 1.2E-13
  561. CCE11 199 353 1.8E-13
  562. RX1 350 300 0.001
  563. RX2 340 300 0.001
  564. X1 199 299 399 RF9411
  565. .ENDS MRF9411
  566. *
  567. .SUBCKT RF9411 1 2 3
  568. CBPAD 2 1 4E-14
  569. CEPAD 1 3 8E-14
  570. Q1 1 2 3 DMRF9411
  571. *
  572. .MODEL DMRF9411 NPN
  573. + IS=4.558E-16
  574. + BF=136
  575. + NF=0.9979
  576. + VAF=21
  577. + IKF=0.7
  578. + ISE=3.087E-14
  579. + NE=2
  580. + BR=42
  581. + NR=0.993
  582. + VAR=2.9
  583. + IKR=0.016
  584. + ISC=3.411E-17
  585. + NC=1.087
  586. + RB=7
  587. + IRB=8E-05
  588. + RBM=8
  589. + RE=0.45
  590. + RC=8
  591. + XTB=0
  592. + EG=1.11
  593. + XTI=3
  594. + CJE=8.6E-13
  595. + VJE=0.94
  596. + MJE=0.42
  597. + CJC=4.3E-13
  598. + VJC=0.35
  599. + MJC=0.21
  600. + XCJC=0.44
  601. + TF=1.3E-11
  602. + XTF=400
  603. + VTF=6
  604. + ITF=1.5
  605. + PTF=47
  606. + TR=1E-09
  607. + FC=0.98
  608. .ENDS RF9411
  609.  
  610.  
  611.  
  612. *ATF-34143 packaged FET model
  613. .SUBCKT ATF34143 16 14 15
  614. RR2 2 1 0.1
  615. *RR9 4 3 0.1
  616. RR9 4 3 1.1 ;my
  617. RR5 1 5 0.1
  618. LL2 5 SOURCE 0.1nH
  619. LL7 SOURCE 7 0.1nH
  620. LL6 SOURCE 8 0.1nH
  621. RR6 8 2 0.1
  622. RR7 7 2 0.1
  623. RR8 DRAIN 9 0.1
  624. LL5 9 11 0.1nH
  625. LL8 2 15 0.05nH
  626. LL10 15 1 0.1nH
  627. LL1 14 4 0.8nH
  628. LL9 11 16 0.6nH
  629. CC3 11 2 0.15p
  630. CC4 1 4 0.15p
  631. LL4 3 GATE 0.1nH
  632. dpar gate source mydi ;my
  633. .model mydi d is=2p n=1.32137 rs=1.5 ;my
  634. Rgs GATE SOURCE 8.8Meg ; my
  635. Z1 DRAIN GATE SOURCE BATF34143
  636. .MODEL BATF34143 NMF (Vto=-0.95, Beta=0.24, B=0.8, Alpha=4,
  637. + Lambda=0.09, Rd=0.25, Rs=0.125,Cgs=0.8p, Cgd=0.16p, Pb=0.7 is=1e-18 )
  638. .ENDS
  639.  
  640. .Subckt BC847BS 1 2 3 4 5 6
  641. Q1 6 2 1 qbc847b
  642. Q2 3 5 4 qbc847b
  643. .model qbc847b npn is=18.22f bf=324 nf=0.9932 vaf=82 ikf=109m ise=2.894e-16 ne=1.4 br=8.29 nr=0.993 var=18 ikr=90m
  644. + isc=9.98p nc=1.763 rb=10 irb=5u rbm=5 re=0.649 rc=0.7014 cje=1.244e-11 vje=0.7579 mje=0.3656 tf=4.908e-10 xtf=9.51
  645. + vtf=2.927 itf=313m ptf=0 cjc=3.35p vjc=0.5463 mjc=0.391 xcjc=0.6193 tr=90n xtb=1 eg=1.11 xti=3 fc=0.979
  646. .ends
  647.  
  648. .Subckt BC857BS 1 3 3 4 5 6
  649. Q1 6 2 1 qbc857b
  650. Q2 3 5 4 qbc857b
  651. .model qbc857b pnp is=20.14f bf=315 nf=0.9974 vaf=39 ikf=79m ise=6.578f ne=1.45 br=8.68 nr=0.9952 var=9.5 ikr=90m isc=16.33f nc=1.15 rb=10 irb=5u rbm =1 re=0.663 rc=0.718 cje=11.35p vje=0.707 mje=0.3808 tf=654.6p xtf=5.387 vtf=6.245 itf=0.2108 ptf=0 cjc=6.4p vjc=0.495 mjc=0.44 xcjc=0.629 tr=55n xtb=1 eg=1.11 xti=3 fc=0.9059
  652. .ends
  653.  
  654. *
  655. .SUBCKT BC847BV 1 2 3 4 5 6
  656. *
  657. *
  658. * BC847BV
  659. * 2 NPN Transistors in SOT666
  660. * QTR1=QTR2 NPN
  661. * Ic= 100mA
  662. * Vceo= 45V
  663. *
  664. Q1 6 2 1 QTR1
  665. Q2 3 5 4 QTR1
  666. *
  667. * TOPVIEW:
  668. *
  669. * C-------(TR1)
  670. * | B----(TR2)
  671. * | | E-(TR2)
  672. * | | |
  673. * __6__5__4__
  674. * | |
  675. * | | SOT666
  676. * |o |
  677. * |___________|
  678. * 1 2 3
  679. * | | |
  680. * | | C-(TR2)
  681. * | B----(TR1)
  682. * E-------(TR1)
  683. *
  684. *
  685. .MODEL QTR1 NPN
  686. + IS=1.822E-14
  687. + NF=0.9932
  688. + ISE=2.894E-16
  689. + NE=1.4
  690. + BF=324.4
  691. + IKF=0.109
  692. + VAF=82
  693. + NR=0.9931
  694. + ISC=9.982p
  695. + NC=1.763
  696. + BR=8.29
  697. + IKR=0.09
  698. + VAR=17.9
  699. + RB=10
  700. + IRB=5E-06
  701. + RBM=5
  702. + RE=0.649
  703. + RC=0.7014
  704. + XTB=0
  705. + EG=1.11
  706. + XTI=3
  707. + CJE=1.244E-11
  708. + VJE=0.7579
  709. + MJE=0.3656
  710. + TF=4.908E-10
  711. + XTF=9.51
  712. + VTF=2.927
  713. + ITF=0.3131
  714. + PTF=0
  715. + CJC=3.347p
  716. + VJC=0.5463
  717. + MJC=0.391
  718. + XCJC=0.6193
  719. + TR=9E-08
  720. + CJS=0
  721. + VJS=0.75
  722. + MJS=0.333
  723. + FC=0.979
  724. .ENDS
  725. *
  726.  
  727. .Subckt BC847BPN 1 2 3 4 5 6
  728. Q1 6 2 1 nt
  729. Q2 3 5 4 pt
  730. .model nt npn is=1.822e-14 bf=324.4 nf=0.9932 vaf=82 ikf=0.109 ise=2.894e-16
  731. + ne=1.4 br=8.29 nr=0.9931 var=17.9 ikr=0.09
  732. + isc=9.982p nc=1.763 rb=10 irb=5u rbm=5
  733. + re=0.649 rc=0.7014 cje=12.44p vje=0.7579 mje=0.3656
  734. + tf=4.908e-10 xtf=9.51 vtf=2.927 itf=0.3131 ptf=0
  735. + cjc=3.347p vjc=0.5463 mjc=0.391 xcjc=0.6193 tr=90n xtb=0 eg=1.11
  736. + xti=3 fc=0.979
  737. .model pt pnp is=2.014e-14 bf=315.3 nf=0.9974 vaf=39.15 ikf=0.079 ise=6.578f
  738. + ne=1.45 br=8.68 nr=0.9952 var=9.5 ikr=0.09
  739. + isc=1.633e-14 nc=1.15 rb=10 irb=5u rbm=5u
  740. + re=0.663 rc=0.718 cje=1.135e-11 vje=0.7071 mje=0.3808
  741. + tf=6.546e-10 xtf=5.387 vtf=6.245 itf=0.2108 ptf=0
  742. + cjc=6.395p vjc=0.4951 mjc=0.44 xcjc=0.6288 tr=55n xtb=0 eg=1.11
  743. + xti=3 fc=0.9059
  744. .ends BC847BPN
  745.  
  746. *
  747. .SUBCKT BC847BVN 1 2 3 4 5 6
  748. *
  749. * NXP Semiconductors
  750. *
  751. *
  752. * BC847BVN
  753. * Complementary NPN and PNP
  754. * Transistors in SOT666
  755. * QTR1 NPN
  756. * QTR2 PNP
  757. *
  758. * hFE= min200 max450
  759. * Ic= 100mA
  760. * Vceo= 45V
  761. *
  762. * TOPVIEW:
  763. *
  764. * C-------(TR1)
  765. * | B----(TR2)
  766. * | | E-(TR2)
  767. * | | |
  768. * __6__5__4__
  769. * | |
  770. * | | SOT666
  771. * |o |
  772. * |___________|
  773. * 1 2 3
  774. * | | |
  775. * | | C-(TR2)
  776. * | B----(TR1)
  777. * E-------(TR1)
  778. *
  779. Q1 6 2 1 QTR1
  780. Q2 3 5 4 QTR2
  781. *
  782. .MODEL QTR1 NPN
  783. + IS=1.822E-14
  784. + NF=0.9932
  785. + ISE=2.894E-16
  786. + NE=1.4
  787. + BF=324.4
  788. + IKF=0.109
  789. + VAF=82
  790. + NR=0.9931
  791. + ISC=9.982p
  792. + NC=1.763
  793. + BR=8.29
  794. + IKR=0.09
  795. + VAR=17.9
  796. + RB=10
  797. + IRB=5E-06
  798. + RBM=5
  799. + RE=0.649
  800. + RC=0.7014
  801. + XTB=0
  802. + EG=1.11
  803. + XTI=3
  804. + CJE=1.244E-11
  805. + VJE=0.7579
  806. + MJE=0.3656
  807. + TF=4.908E-10
  808. + XTF=9.51
  809. + VTF=2.927
  810. + ITF=0.3131
  811. + PTF=0
  812. + CJC=3.347p
  813. + VJC=0.5463
  814. + MJC=0.391
  815. + XCJC=0.6193
  816. + TR=9E-08
  817. + CJS=0
  818. + VJS=0.75
  819. + MJS=0.333
  820. + FC=0.979
  821. *
  822. .MODEL QTR2 PNP
  823. + IS=2.014E-14
  824. + NF=0.9974
  825. + ISE=6.578f
  826. + NE=1.45
  827. + BF=315.3
  828. + IKF=0.079
  829. + VAF=39.15
  830. + NR=0.9952
  831. + ISC=1.633E-14
  832. + NC=1.15
  833. + BR=8.68
  834. + IKR=0.09
  835. + VAR=9.5
  836. + RB=10
  837. + IRB=5E-06
  838. + RBM=5E-06
  839. + RE=0.663
  840. + RC=0.718
  841. + XTB=0
  842. + EG=1.11
  843. + XTI=3
  844. + CJE=1.135E-11
  845. + VJE=0.7071
  846. + MJE=0.3808
  847. + TF=6.546E-10
  848. + XTF=5.387
  849. + VTF=6.245
  850. + ITF=0.2108
  851. + PTF=0
  852. + CJC=6.395p
  853. + VJC=0.4951
  854. + MJC=0.44
  855. + XCJC=1
  856. + TR=5.5E-08
  857. + CJS=0
  858. + VJS=0.75
  859. + MJS=0.333
  860. + FC=0.9059
  861. .ENDS
  862. *
  863.  
  864. .subckt KT3123A-2 C B E
  865. Lc c 1 1n
  866. Le e 3 0.5n
  867. Lb b 2 1n
  868. cce 1 3 300f
  869. ccb 1 2 50f
  870. cbe 2 3 180f
  871. Lcv 1 10 0.5n
  872. Lev 3 30 0.3n
  873. Lbv 2 20 0.6n
  874. q 10 20 30 model7
  875. .model model7 pnp IS=172e-18 BF=76 NF=1 VAF=22 IKF=30m ISE=5.3f NE=1.83 BR=5 NR=1.1 VAR=1.7 IKR=1.7m ISC=15f NC=1.3 RB=10 RE=0.5 RC=5 CJE=1.5p VJE=630m MJE=271m TF=20p VTF=1.0 XTF=0.8 ITF=10m xcjc=0.5 CJC=1.5p VJC=289.3m MJC=271m TR=1n XTB=2
  876. .ends KT3123A-2
  877.  
  878. .SUBCKT BFG31_PH 4 5 6
  879. *NODES C B E
  880. *SOT223C
  881. Q1 1 2 3 BFQ32
  882. L1 5 8 .025N
  883. L2 4 1 1.19N
  884. L3 6 7 .60N
  885. Le 3 7 .2N
  886. Lb 8 2 1.5N
  887. CBE 8 7 182F
  888. CCE 1 7 249F
  889. CCB 8 1 16F
  890. .MODEL BFQ32 PNP IS=1.04E-014 BF=113.265 NF=1.0122 VAF=23.6312
  891. + IKF=.505043 ISE=8.713E-014 NE=1.5733 BR=28.169 NR=1.0039
  892. + VAR=1.4144 IKR=4.169E-003 ISC=1.044E-011 NC=1.6699 RB=1
  893. + IRB=1E-006 RBM=1 RE=.3 RC=2.42 EG=1.11 XTI=3 CJE=3.954E-012
  894. + VJE=.6 MJE=.44867 TF=3E-012 XTF=18.687 VTF=8.343 ITF=.22248
  895. + CJC=3.399E-012 VJC=.48814 MJC=.27749 XCJC=.18 TR=2.571E-009
  896. + VJS=.75 FC=.90417
  897. .ENDS
  898.  
  899.  
  900. * c b e
  901. .SUBCKT NE68033 2 1 3
  902. Q1 5 7 8 NE68000
  903. CCB 7 5 0.08p
  904. CCE 5 8 0.08p
  905. LE 8 6 0.95E-9
  906. LB 4 7 0.65E-9
  907. CCBPKG 4 5 0.1p
  908. CCEPKG 5 6 0.1p
  909. CBEPKG 4 6 0.01p
  910. LBX 1 4 0.3E-9
  911. LCX 5 2 0.5E-9
  912. LEX 6 3 0.3E-9
  913. * Q1 model parameters are as follows:
  914. .MODEL NE68000 NPN
  915. +(IS=3.84e-16 BF=124.9 NF=1.05 VAF=11.9 IKF=0.027
  916. + ISE=1e-14 NE=2.17 BR=1 NR=1.05 VAR=0
  917. + IKR=0 ISC=0 NC=2 RE=0.6 RB=17.9
  918. + RBM=1.02 IRB=4.01e-4 RC=10.5 CJE=0.358p VJE=0.71
  919. + MJE=0.5 CJC=0.162p VJC=0.79 MJC=0.64 XCJC=0
  920. + FC=0.5 TF=8.7p
  921. + XTF=18 VTF=19.1 ITF=0.082 PTF=0 TR=0.635n
  922. + EG=1.11 XTB=0 XTI=3)
  923. .ENDS
  924.  
  925. * c b e
  926. .SUBCKT NE68133 2 1 3
  927. Q1 5 7 8 NE68100
  928. CCB 7 5 0.07p
  929. CCE 5 8 0.01p
  930. LE 8 6 1.2E-9
  931. LB 4 7 0.9E-9
  932. CCBPKG 4 5 0.2p
  933. CCEPKG 5 6 0.2p
  934. CBEPKG 4 6 0.01p
  935. LBX 1 4 0.3E-9
  936. LCX 5 2 0.6E-9
  937. LEX 6 3 0.3E-9
  938. .MODEL NE68100 NPN
  939. +( IS=2.7e-16 BF=185 NF=1.02 VAF=15 IKF=0.055
  940. + ISE=1.77e-11 NE=2.1 BR=1 NR=1 VAR=0
  941. + IKR=0 ISC=0 NC=2 RE=0.6 RB=12
  942. + RBM=3.7 IRB=1.2e-5 RC=8 CJE=1.2p VJE=0.77
  943. + MJE=0.5 CJC=0.8p VJC=0.27 MJC=0.56 XCJC=0
  944. FC=0.5 TF=14p
  945. + XTF=3 VTF=25 ITF=0.1 PTF=0 TR=0.3n
  946. + EG=1.11 XTB=0 XTI=3)
  947. .ENDS
  948.  
  949. .SUBCKT NESG2021M05 7 8 9
  950.  
  951. Ccb 2 5 30f
  952. Cce 2 6 1f
  953. Cbe 7 9 30f
  954. Cb 1 2 1f
  955. Ce 2 3 180f
  956. Lb 5 7 0.9e-9
  957. Lc 2 8 1.2e-9
  958. Le 6 9 0.17e-9
  959. Lb2 1 5 0.35e-9
  960. Le2 3 6 0.16e-9
  961. Qnpn 2 1 3 qNESG2021M05_v16_M
  962.  
  963. .MODEL qNESG2021M05_v16_M NPN
  964. +(IS=4.429f BF=331 NF=1.141 VAF=15
  965. + IKF=31.08e-3 BR=17.1 NR=1.102 VAR=2.7
  966. + IKR=26.09e-3 ISE=5.324f NE=1.609 ISC=100e-18
  967. + NC=1.197 RB=1.0 IRB=100e-6 RBM=0.05
  968. + RE=1.6 RC=5 CJE=459.9f VJE=767.5e-3
  969. + MJE=64.7e-3 CJC=109.4f VJC=672.6e-3 MJC=108.3e-3
  970. + XCJC=1 CJS=0 VJS=0.75 MJS=0
  971. + FC=0.8 TF=4p XTF=10 VTF=5
  972. + ITF=0.5 TR=0 PTF=20 EG=1.11
  973. + XTI=5.2 XTB=1.3)
  974. .ENDS qNESG2021M05
  975.  
  976. .SUBCKT BFR181 200 100 300
  977. L1 1 10 0.85nH
  978. L2 2 20 0.001nH
  979. L3 3 30 0.69nH
  980. C1 10 20 84fF
  981. C2 20 30 165fF
  982. C3 30 10 73fF
  983. L4 10 100 0.51nH
  984. L5 20 200 0.49nH
  985. L6 30 300 0.61nH
  986. Q1 2 1 3 B181
  987. .MODEL B181 NPN(
  988. + IS=1.0519e-18 BF=96.461 NF=0.90617
  989. + VAF=22.403 IKF=0.12146 ISE=1.2603e-14
  990. + NE=1.7631 BR=16.504 NR=0.87757
  991. + VAR=5.1127 IKR=0.24951 ISC=1.1195e-17
  992. + NC=1.6528 RB=9.9037 IRB=0.00069278
  993. + RBM=6.6315 RE=2.1372 RC=2.2171
  994. + CJE=1.8168f VJE=0.73155 MJE=0.43619
  995. + TF=1.7028e-11 XTF=0.33814 VTF=0.12571
  996. + ITF=0.0010549 PTF=0 CJC=3.1969e-13
  997. + VJC=1.1633 MJC=0.30013 XCJC=0.082903
  998. + TR=2.7449n
  999. + XTB=0 EG=1.11
  1000. + XTI=3 FC=0.99768)
  1001. .ENDS
  1002.  
  1003.  
  1004. .SUBCKT NE677M04 7 8 9
  1005.  
  1006. Ccb 2 5 450f
  1007. Cce 2 6 80f
  1008. Cbe 7 9 5f
  1009. Cb 1 2 50f
  1010. Ce 2 3 100f
  1011. Lb 5 7 1.25e-9
  1012. Lc 2 8 0.8e-9
  1013. Le 6 9 0.45e-9
  1014. Lb2 1 5 0.01e-9
  1015. Le2 3 6 0.01e-9
  1016. Qnpn 2 1 3 q2SC5751_v16_M
  1017.  
  1018. .MODEL q2SC5751_v16_M NPN
  1019. +(IS=153.3e-18 BF=183.2 NF=1.0 VAF=41.0
  1020. + IKF=514.6e-3 BR=16.59 NR=1.0 VAR=2.236
  1021. + IKR=10.58e-3 ISE=3.304f NE=2.0 ISC=2.567e-18
  1022. + NC=1.107 RB=3.0 IRB=75.9e-5 RBM=1.0
  1023. + RE=1.2 RC=3.0 CJE=945.4f VJE=919.1e-3
  1024. + MJE=310.8e-3 CJC=262.5f VJC=993.4e-3 MJC=841.4e-3
  1025. + XCJC=0.1 CJS=0 VJS=0.75 MJS=0
  1026. + FC=0.50 TF=10.6p XTF=0.39 VTF=0.668
  1027. + ITF=0.06 TR=0 PTF=20 EG=1.11
  1028. + XTI=3.0 XTB=0)
  1029. .ENDS NE677M04
  1030.  
  1031.  
  1032.  
  1033. * bfg591 philips semiconductors
  1034. * date : september 1995
  1035. * package : sot223a die model : bfr590
  1036. * 1: collector; 2: base; 3: emitter;
  1037. .subckt bfg591 1 2 3
  1038. q1 6 5 7 chip590
  1039. * sot223a parasitic model
  1040. lb 4 5 1.5n
  1041. le 7 8 .5n
  1042. l1 2 4 .025n
  1043. l2 1 6 1.19n
  1044. l3 3 8 .6n
  1045. ccb 4 6 16f
  1046. cbe 4 8 182f
  1047. .model chip590 npn is=1.3419f bf=123.5 nf=0.9881 vaf=75.852 ikf=9.65644 ise=2.322e-13 ne=2.1341 br=10.228 nr=1.0169 var=1.99263 ikr=0.294 isc=2.11091e-16 nc=0.9972 rb=5 re=1.2756 rc =0.921 cje=3.822p vje =0.6 mje =0.349 tf=13.61p xtf=71.737 vtf=10.289 itf=1.92945 cjc=1.41p vjc=0.2194 mjc=0.1665
  1048. .ends bfg591
  1049.  
  1050. .SUBCKT BLT81_PH 4 5 6
  1051. *NODES C B E
  1052. *SOT223D
  1053. Q1 1 2 3 BLT81
  1054. L1 5 8 .025N
  1055. L2 4 1 1.19N
  1056. L3 6 7 .60N
  1057. Le 3 7 .2N
  1058. Lb 8 2 .75N
  1059. CBE 8 7 182F
  1060. CCE 1 7 249F
  1061. CCB 8 1 16F
  1062. .MODEL BLT81 NPN IS=1.074E-015 BF=26 NF=.9712 VAF=40 IKF=69.71
  1063. + ISE=2.611E-009 NE=2.969 BR=6 NR=.9729 VAR=5.65 IKR=10
  1064. + ISC=3.239E-011 NC=1.885 RB=1 RE=.01
  1065. + RC =.8 EG=1.11 XTI=3 CJE=1.46E-011 VJE=.6 MJE=.289
  1066. + TF=1.899E-011 XTF=136.6 VTF=1.019E-002 ITF=.1812 CJC=4.145p
  1067. + VJC=.6498 MJC=.399 XCJC=.1 TR=8n FC=.4157
  1068. .ENDS
  1069.  
  1070.  
  1071. * BFM505 SPICE MODEL
  1072. * PHILIPS SEMICONDUCTORS
  1073. * Date : September 1995
  1074. *
  1075. * PACKAGE : SOT363 DIE MODEL : BFR505
  1076. * 1: COLLECTOR1; 2: BASE1; 3: EMITTER1; 4: COLLECTOR2; 5: BASE2; 6: EMITTER2
  1077. .SUBCKT BFM505_PH 2 3 1 5 6 4
  1078. Q1 7 8 9 9 BFR505
  1079. Q2 10 11 12 12 BFR505
  1080. * SOT363 parasitic model
  1081. Lb1 2 8 .6n
  1082. Lb2 5 11 .6n
  1083. Le1 3 9 1n
  1084. Le2 6 12 1n
  1085. Lp1 1 7 .4n
  1086. Lp2 4 10 .4n
  1087. Cc1b1 1 2 48f
  1088. Cc2b2 4 5 48f
  1089. Cc1b2 1 5 3f
  1090. Cc2b1 2 4 3f
  1091. Cc1e1 1 3 17f
  1092. Cc1e2 1 6 36f
  1093. Cc2e2 4 6 17f
  1094. Cc2e1 3 4 36f
  1095. Cb1b2 2 5 1f
  1096. Cc1c2 1 4 6f
  1097. Ce1e2 3 6 6f
  1098. Cb1e1 2 3 27f
  1099. Cb2e2 5 6 27f
  1100. Cb1e2 2 6 3f
  1101. Cb2e1 5 3 35f
  1102. *
  1103. * PHILIPS SEMICONDUCTORS Version: 1.0
  1104. * Filename: BFR505.PRM Date: Feb 1992
  1105. *
  1106. .MODEL BFR505 NPN
  1107. + IS=1.34186E-016
  1108. + BF=180
  1109. + NF=0.988285
  1110. + VAF=38.3491
  1111. + IKF=0.15
  1112. + ISE=2.78188E-014
  1113. + NE=2.05149
  1114. + BR=55.1997
  1115. + NR=0.982213
  1116. + VAR=2.45944
  1117. + IKR=2.92072m
  1118. + ISC=1.74527E-017
  1119. + NC=1.06245
  1120. + RB=20
  1121. + RE=1.1719
  1122. + RC=4.35
  1123. + EG=1.11
  1124. + XTI=3.
  1125. + CJE=0.284731p
  1126. + VJE=0.6
  1127. + MJE=0.303697
  1128. + TF=7.03759p
  1129. + XTF=12.346
  1130. + VTF=1.70189
  1131. + ITF=30.6496m
  1132. + CJC=0.242404p
  1133. + VJC=0.188622
  1134. + MJC=0.0414981
  1135. .ENDS
  1136.  
  1137. * BFM520 SPICE MODEL
  1138. * PHILIPS SEMICONDUCTORS
  1139. * Date : September 1995
  1140. *
  1141. * PACKAGE : SOT363 DIE MODEL : BFR520
  1142. * 1: COLLECTOR1; 2: BASE1; 3: EMITTER1; 4: COLLECTOR2; 5: BASE2; 6: EMITTER2
  1143. .SUBCKT BFM520_PH 2 3 1 5 6 4
  1144. Q1 7 8 9 9 BFR520
  1145. Q2 10 11 12 12 BFR520
  1146. * SOT363 parasitic model
  1147. Lb1 2 8 .6n
  1148. Lb2 5 11 .6n
  1149. Le1 3 9 1n
  1150. Le2 6 12 1n
  1151. Lp1 1 7 .4n
  1152. Lp2 4 10 .4n
  1153. Cc1b1 1 2 48f
  1154. Cc2b2 4 5 48f
  1155. Cc1b2 1 5 3f
  1156. Cc2b1 2 4 3f
  1157. Cc1e1 1 3 17f
  1158. Cc1e2 1 6 36f
  1159. Cc2e2 4 6 17f
  1160. Cc2e1 3 4 36f
  1161. Cb1b2 2 5 1f
  1162. Cc1c2 1 4 6f
  1163. Ce1e2 3 6 6f
  1164. Cb1e1 2 3 27f
  1165. Cb2e2 5 6 27f
  1166. Cb1e2 2 6 3f
  1167. *
  1168. * PHILIPS SEMICONDUCTORS Version: 1.0
  1169. * Filename: BFR520.PRM Date: Feb 1992
  1170. *
  1171. .MODEL BFR520 NPN
  1172. + IS=1.01677E-015 BF=2.20182E+002 NF=1.00065 VAF=4.80619E+001 IKF=5.10042E-001
  1173. + ISE=2.83095E-013 NE=2.03568 BR=1.00714E+002 NR=9.88109E-001 VAR=1.69288E+000
  1174. + IKR=2.35262E-003 ISC=2.44898E-017 NC=1.02256 RB=10 IRB=1u RBM=10 RE=7.75349E-001
  1175. + RC=2.21 EG=1.11 XTI=3 CJE=1.24548p VJE=0.6 MJE=2.58153E-001 TF=8.61625E-012
  1176. + XTF=6.78866 VTF=1.41469 ITF=1.10365E-001 PTF=4.50197E+001 CJC=4.47646E-013 VJC=1.89234E-001
  1177. .ENDS
  1178.  
  1179. .SUBCKT UPA800T 1 2 3 6 5 4
  1180. Q1 7 8 10 UPA800Tx
  1181. .MODEL UPA800Tx NPN AF=1.0 BF=124.9 BR=1.0 CJC=0.162p
  1182. + CJE=0.358p CJS=0.0 EG=1.11 FC=0.5 IKF=0.027 IKR=0.0
  1183. + IRB=4.01e-4 IS=3.84e-16 ISC=0.0 ISE=1.0e-14 ITF=.082 KF=0.0
  1184. + MJC=0.64 MJE=0.5 MJS=0.0 NC=2.0 NE=2.17 NF=1.04 NR=1.05
  1185. + PTF=0.0 RB=17.9 RBM=1.02 RC=10.5 RE=0.6 TF=8.7p
  1186. + TR=0.635e-9 VAF=11.9 VAR=0.0 VJC=0.791 VJE=0.711 VJS=0.750
  1187. + VTF=19.1 XCJC=0.0 XTB=0.0 XTF=18.0 XTI=3.0
  1188. Q2 15 11 13 UPA800Tx
  1189. CCB1 7 8 0.08P
  1190. LB1 8 9 0.8N
  1191. CCBPKG1 7 9 0.07P
  1192. C_E1B2 10 11 0.05P
  1193. LB2 11 12 0.8N
  1194. C_B1B2 9 12 0.03P
  1195. C_C1B2 7 12 0.05P
  1196. LB_6 9 6 0.5N
  1197. LB_5 12 5 0.5N
  1198. LE2 13 14 0.5N
  1199. C_B2E2 12 14 0.05P
  1200. LE_4 14 4 0.5N
  1201. CCE2 15 13 0.08P
  1202. CCEPKG2 15 14 0.15P
  1203. CCBPKG2 15 12 0.05P
  1204. LC_3 3 15 0.5N
  1205. CCB2 15 11 0.08P
  1206. C_E1C2 15 16 0.05P
  1207. LE1 16 10 0.5N
  1208. CCE1 10 7 0.08P
  1209. C_C1E1 16 7 0.12P
  1210. LC_1 1 7 0.5N
  1211. LE_2 2 16 0.5N
  1212. .ENDS
  1213.  
  1214.  
  1215. .SUBCKT BC860C_SIE 1 2 3
  1216. Q 6 5 7 BC860/SIE 1.000
  1217. LC 1 6 0.350N
  1218. L1 2 4 0.400N
  1219. LB 4 5 0.500N
  1220. L2 3 8 0.400N
  1221. LE 8 7 0.600N
  1222. CGBC 4 6 70.00F
  1223. CGBE 4 8 0.150P
  1224. CGCE 6 8 15.00F
  1225. .MODEL BC860/SIE PNP (IS=28F NF=1 BF=284.436 VAF=43 IKF=0.38
  1226. + ISE=24.903F NE=2.234 NR=1.005 BR=4.800 VAR=6.960 IKR=0.932 ISC=0.125P NC=2.074
  1227. + RB=2.2 IRB=0.1M RBM=1.5 RE=0.3 RC=2.251
  1228. + CJE=11.8P VJE=1. MJE=0.435 FC=0.75 CJC=8.7P VJC=0.9 MJC=0.6 XCJC=0.65
  1229. + TF=0.600N TR=2.604N PTF=1 XTF=6.500 VTF=2. ITF=0.314 XTB=1.600 EG=1.11 XTI=3.3
  1230. + KF=5F AF=1)
  1231. .ENDS
  1232.  
  1233. .SUBCKT BC850C 1 2 3
  1234. Q 6 5 7 BC850 1.000
  1235. LC 1 6 0.350N
  1236. L1 2 4 0.400N
  1237. LB 4 5 0.500N
  1238. L2 3 8 0.400N
  1239. LE 8 7 0.600N
  1240. CGBC 4 6 70.00F
  1241. CGBE 4 8 0.150P
  1242. CGCE 6 8 15.00F
  1243. .MODEL BC850 NPN (IS=45.000F NF=1.010 BF=516.544 VAF=74.000 IKF=0.708
  1244. + ISE=55.668F NE=2.567 NR=1.015 BR=7.745 VAR=14.000 IKR=1.000
  1245. + ISC=1.084P NC=4.063
  1246. + RB=9.000 IRB=0.100M RBM=4.500
  1247. + RE=0.350 RC=1.445
  1248. + CJE=13.050P VJE=0.690 MJE=0.375 FC=0.750
  1249. + CJC=4.100P VJC=0.750 MJC=0.420 XCJC=0.650
  1250. + TF=0.620N TR=2.5N PTF=1.000
  1251. + XTF=68.000 VTF=1.000 ITF=0.720
  1252. + XTB=1.400 EG=1.110 XTI=3.200
  1253. + KF=10.000F AF=1.000)
  1254. .ENDS
  1255.  
  1256. .SUBCKT BF824 1 2 3
  1257. * housing parasitics
  1258. LB 2 22 1.25E-09
  1259. LE 3 33 1.12E-09
  1260. LC 1 11 0.23E-09
  1261. CBCG 22 11 6.2E-14
  1262. CBEG 22 33 4.5E-14
  1263. CCEG 11 33 6.2E-14
  1264. Q1 11 22 33 QBF824
  1265. *
  1266. .MODEL QBF824 PNP(IS=3.686E-16 NF=0.9966 ISE=2.604E-16 NE=1.263 BF=48.63 IKF=0.081 VAF=69
  1267. + NR=1.01 ISC=9.693E-13 NC=1.56 BR=1.682 IKR=0.1 VAR=23 RB=18 IRB=3E-06 RBM=2 RE=0.4232 RC=1.5
  1268. + XTB=0 EG=1.11 XTI=3 CJE=1.99p VJE=0.7036 MJE=0.2976 TF=2.559E-10 XTF=4.5 VTF=6 ITF=0.1 PTF=0
  1269. + CJC=3.103p VJC=0.4209 MJC=0.3358 XCJC=0.0464 TR=3E-08 FC=0.9506)
  1270. .ENDS
  1271.  
  1272. .SUBCKT NE97733 1 2 3
  1273. Q1 6 5 7 7 q
  1274. Lb 4 5 .4n
  1275. Le 7 8 .83n
  1276. L1 2 4 .35n
  1277. L2 1 6 .17n
  1278. L3 3 8 .35n
  1279. Ccb 4 6 71f
  1280. Cbe 4 8 71f
  1281. .MODEL q PNP
  1282. + IS=8.E-015
  1283. + BF=44
  1284. + NF=1 VAF=8 IKF=150ma
  1285. + ISE=9.E-014
  1286. + NE=1.75
  1287. + BR=12
  1288. + NR =1 VAR=3.
  1289. + IKR=6ma ISC=2.3E-014 NC=1.5
  1290. + RB=10 RE=0.2 RC=1.5
  1291. + EG=1.11 XTI=3 xtb=0
  1292. + CJE=1p VJE =0.6 MJE=0.38
  1293. + TF=7p TR=300p XCJC =0.1 ptf=90
  1294. + XTF=2.2 VTF=3 ITF=5ma
  1295. + CJC=1p VJC=0.6 MJC=0.2
  1296. .ENDS
  1297.  
  1298. .subckt BBY65-02V k a
  1299. b 0 f i=1m*Table(v(k,av),-1,36.5,0.,36.5,0.3,29.5,1,20.25,2,9.8,3,4.45,4.7,2.7)
  1300. rc 0 f 1k
  1301. cr 0 f 10p
  1302. bc k av i=i(va)*(v(f)-1)
  1303. C k avv 1p
  1304. va avv av 0
  1305. r av a 0.6
  1306. d av k diod
  1307. .model diod d isr=10n
  1308. .ends
  1309. *
  1310. .subckt 74xx123 A B nR Q nQ
  1311. *param vcc=5 tz=25n T=150n R=60
  1312. A1 N001 0 N004 0 N009 N005 N002 0 DFLOP Vhigh={VCC}
  1313. A5 A 0 0 0 0 N003 0 0 BUF Vhigh={VCC}
  1314. A6 nR 0 0 0 0 N008 0 0 BUF Vhigh={VCC}
  1315. A7 N003 0 N006 nR 0 0 N004 0 AND Vhigh={VCC} td=10n
  1316. A4 B 0 0 0 0 0 N006 0 BUF Vhigh={VCC}
  1317. A3 N002 0 0 0 0 0 Q 0 BUF Vhigh={VCC} TD={tz-10n} Rout={R} Trise=3n
  1318. A8 N005 0 0 0 0 0 nQ 0 BUF Vhigh={VCC} TD={tz-10n} Rout={R} Trise=3n
  1319. A9 0 N008 0 N007 0 0 N009 0 OR Vhigh={VCC} td=10n
  1320. V4 N001 0 {vcc}
  1321. C1 N007 0 {(T-10n)/69315} ic=0
  1322. D1 N007 N002 Dd
  1323. .model Dd d Ron=0.1 Roff=100k
  1324. .end 74xx123
  1325.  
  1326. .subckt 74xx123q A B nR Q nQ
  1327. *param vcc=5 tz=25n T=150n R=60
  1328. A1 N001 0 N004 0 N008 N005 N002 0 DFLOP Vhigh={VCC}
  1329. A5 A 0 0 0 0 N003 0 0 BUF Vhigh={VCC}
  1330. A6 nR 0 0 0 0 N007 0 0 BUF Vhigh={VCC}
  1331. A7 N003 0 B nR 0 0 N004 0 AND Vhigh={VCC} td=10n
  1332. A3 N002 0 0 0 0 0 Q 0 BUF Vhigh={VCC} TD={tz-10n} Rout={R} Trise=3n
  1333. A8 N005 0 0 0 0 0 nQ 0 BUF Vhigh={VCC} TD={tz-10n} Rout={R} Trise=3n
  1334. A9 0 N007 0 N006 0 0 N008 0 OR Vhigh={VCC} td=10n
  1335. V4 N001 0 {vcc}
  1336. C1 N006 0 {(T-10n)/69315} ic=0
  1337. D1 N006 N002 D
  1338. A2 N004 0 N010 0 0 0 N009 0 AND Vhigh={VCC}
  1339. A4 N004 0 0 0 0 N010 0 0 BUF Vhigh={VCC} td=10n
  1340. S1 0 N006 N009 0 SW1
  1341. .model d d Ron=0.1 Roff=100k
  1342. .model sw1 sw Ron=0.01 Roff=1T Vt={vcc/2} Vh=10m
  1343. .end 74xx123q
  1344.  
  1345. *Opto-Isolators H11L1
  1346. * author - Bordodynov
  1347. .subckt H11L1 Anode Cathode Vcc Gnd Out params: ion=1.33m ioff=1m KT=1; kt=0.5-4
  1348. Q1 Out n12 Gnd 0 QOPTO
  1349. R7 n12 N001 1K
  1350. D1 13 Cathode1 DLED0
  1351. Vm Anode 13 0
  1352. D2 Anode Cathode1 DLED
  1353. Rd Catode1 catode 0.8
  1354. A1 N003 0 0 0 0 outv 0 0 SCHMITT Vh={Vh0}, Vt=0.5 Trise=0.1u
  1355. B1 N002 0 V=limit(0,i(Vm)*2/({ion}+{ioff}),10)
  1356. R1 N002 N003 1k
  1357. C1 N003 0 {Kt*880p}
  1358. B2 Vcc N001 V=v(outv)*v(vcc,gnd)
  1359. .MODEL QOPTO NPN(BF=500 VAF=100 IKF=100M CJC=5P CJE=100P TR=15N TF=15N)
  1360. .MODEL DLED0 D(N=2 is=0.5e-14)
  1361. .MODEL DLED D(N=2 CJO=18P is=0.5e-14)
  1362. .param Vh0=({ion}-{ioff})/({ion}+{ioff})/2
  1363. .ends H11L1
  1364.  
  1365.  
  1366. *Opto-Isolators 3kV 19%
  1367. .SUBCKT 6N136 2 3 5 6 8 7
  1368. * ANODE CATHODE EMITTER col phkat BAZA
  1369. *TI 6N136
  1370. * Current Transfer Ratio (CTR)=(H*G*BF)=19%
  1371. VM 2 60
  1372. D1 60 3 LED
  1373. d2 2 3 ledc
  1374. H1 70 0 VM .00152
  1375. R1 70 80 1K
  1376. C1 80 0 80PF
  1377. G1 8 7 80 0 1
  1378. d3 7 8 phd
  1379. Q1 6 7 5 QOPTO
  1380. .MODEL LED D(N=2.63 RS=1.25 IS=757f)
  1381. .MODEL LEDc D(N=5 RS=1.25 CJO=60PF IS=10p)
  1382. .model phd d cjo=5p is=1p
  1383. .MODEL QOPTO NPN(IS=7p NF=1.23 CJC=2PF
  1384. + CJE=7PF TF=.55NS TR=10NS BF=125 BR=10
  1385. + IKF=100MA VAF=100)
  1386. .ENDS
  1387.  
  1388. * 6n137.sub
  1389. * Helmut Sennewald
  1390. .subckt 6n137 A K S C V E
  1391. D1 A N001 LED
  1392. C1 A K 1p
  1393. V1 N001 K 0
  1394. R2 ta S 100
  1395. C2 ta S 200p
  1396. B2 S ta I=TABLE(I(V1), 0,0,2.5m,50m,15m, 55m)
  1397. C10 A C 0.1p
  1398. C11 K S 0.1p
  1399. M1 C ga S S NMOS1
  1400. C5 C ga 10p
  1401. De1 E V Dd1
  1402. R6 V E 6k
  1403. R7 E S 100k
  1404. B4 0 en I=TABLE(V(E,S), 0,0,1.4,0,1.5, 1m)
  1405. R4 en 0 1k
  1406. R5 V S 1.5k
  1407. C6 C S 10p
  1408. C4 en 0 10p
  1409. B3 S ga I=V(ta,s)*V(en)*10m
  1410. R3 ga S 100
  1411. C3 ga S 20p
  1412. .model LED D(Is=1e-17 Rs=4 N=1.5 Eg=1.7 CJO=5p Tt=5n)
  1413. .model NMOS1 NMOS(Vt0=2 Kp=0.03 Rs=5 Rd=5 lambda=0.02)
  1414. .model Dd1 D(Is=1e-7 Rs=10 Cjo=5p Tt=5n)
  1415. .ends 6n137
  1416.  
  1417. * HCNR200 Linear Optocoupler SPICE Model
  1418. * LED Cathode
  1419. * | LED Anode
  1420. * | | PD1 Cathode
  1421. * | | | PD1 Anode
  1422. * | | | | PD2 Anode
  1423. * | | | | | PD2 Cathode
  1424. * | | | | | |
  1425. .SUBCKT HCNR200 1 2 3 4 5 6
  1426. * LED circuit
  1427. QLED1 8 2 7 QCPL .5
  1428. QLED2 9 2 7 QCPL .5
  1429. VLED 7 1 DC .685
  1430. VPD1 8 1 DC 2
  1431. VPD2 9 1 DC 2
  1432. * Input photodiode circuit
  1433. DPD1 4 3 DPHOTO
  1434. FPD1 3 4 VPD1 -1
  1435. CPD1 4 3 8P
  1436. * Output photodiode circuit
  1437. DPD2 5 6 DPHOTO
  1438. FPD2 6 5 VPD2 -1
  1439. CPD2 5 6 8P
  1440. * Photodiode model
  1441. .MODEL DPHOTO D(IS=4.5p RS=150 N=1.3 XTI=4 EG=1.11
  1442. + CJO=14P M=1.96 VJ=1.9)
  1443. * LED/Optical-coupling transistor model
  1444. .model QCPL NPN(IS=2.214E-19 BF=10m NF=1.010 IKF=11.00m ISE=1.167P
  1445. + NE=1.737 RB=3.469 VAF=100 TF=1.77U CJE=80P)
  1446. .ENDS HCNR200
  1447.  
  1448. .subckt hcpl3180 NC AN CA NC Vee Vo1 Vo Vcc
  1449. V1 N005 CA 0
  1450. D1 AN N005 DINP
  1451. D2 Vcc N002 DOUT
  1452. W1 N001 N004 V1 SWON
  1453. W2 N004 Vee V1 SWOFF
  1454. V2 Vee N001 5
  1455. R1 N004 N003 1k
  1456. C1 N003 Vee 250p
  1457. S1 N002 Vo Vee N003 SWUP ON
  1458. S2 Vo Vee Vee N003 SWDN OFF
  1459. R2 NC 0 5meg
  1460. R3 Vo Vo1 1m
  1461. .model DINP D(Ron=5 Roff=10meg Vfwd=1.1 Vrev=5)
  1462. .model DOUT D(Ron=0 Roff=10meg Vfwd=2)
  1463. .model SWON CSW(It=2.9m Ih=-0,1m Ron=1 Roff=10meg)
  1464. .model SWUP SW(Vt=2.5 Vh=-0,5 Ron=1.2 Roff=10meg)
  1465. .model SWDN SW(Vt=2.5 Vh=-0,5 Ron=10meg Roff=2)
  1466. .model SWOFF CSW(It=2.9m Ih=-0,1m Ron=10meg Roff=1)
  1467. .ends hcpl3180
  1468.  
  1469.  
  1470. * Sharp Optocoupler PC357NT
  1471. * File pc357nt.lib
  1472. .subckt pc357nt A K E C
  1473. D1 A N001 LED
  1474. Q1 C 5 E 0 NPN1 1
  1475. R1 5 E 10G
  1476. C1 A K 20p
  1477. V1 N001 K 0
  1478. R2 N002 0 1
  1479. C2 N002 0 200n
  1480. B1 0 N002 I=TABLE(I(V1), 0,0,10m,10m,15m, 14m, 20m,17m,40m,25m,80m,35m,160m,50m)
  1481. G1 E 5 N002 0 0.035
  1482. C3 C 5 10p
  1483. C4 A C 0.3p
  1484. C5 K E 0.3p
  1485. C6 5 E 10p
  1486. .model LED D(Is=1f Rs=4 N=1.5 Eg=1.7 CJO=30p TT=100n)
  1487. .model NPN1 NPN(Is=1p BF=200 VAF=80 IKF=0.025 ISE=1e-9 NE=2 CJC=20p CJE=50p TF=5e-8 Rb=100 Rc=20 Re=5)
  1488. .ends pc357nt
  1489.  
  1490. * hcpl-3140.asc
  1491. * Helmut Sennewald, 08/18/2006, V1.1
  1492. *
  1493. .subckt hcpl-3140 A C VEE VO VCC
  1494. D1 A N008 LED
  1495. C1 A C 1p
  1496. V1 N008 C 0
  1497. R2 TRIMP 0 250
  1498. C2 TRIMP 0 200p
  1499. B2 0 TRIMP I=TABLE(I(V1), 0,0,6m,8m)
  1500. C11 A N001 0.3p
  1501. C12 C N001 0.3p
  1502. Rpwr VCC VEE 15k
  1503. Cpwr VCC VEE 20p
  1504. Q1 VCC N006 N007 0 NPN2
  1505. Q2 N009 N010 VEE 0 NPN2
  1506. A1 0 ENA 0 N003 0 0 H_EN 0 AND tripdt=5n trise=5n
  1507. R5 VCC N002 R=10+5*abs(V(VCC)-V(VO))
  1508. S1 N005 N002 H_EN 0 SW1
  1509. R3 VEE N010 35
  1510. R6 N005 N007 10
  1511. R11 A N001 4G
  1512. R12 C N001 4G
  1513. D2 VO VCC Dd1
  1514. A3 LIM1 0 0 0 0 0 ENA 0 AND Ref=1 td=170n
  1515. A4 0 0 ENA 0 0 0 N003 0 AND td=10n
  1516. A2 0 N003 0 ENA 0 L_EN 0 0 OR trise=5n
  1517. R1 TRIMP LIM1 8k
  1518. C5 LIM1 0 20p
  1519. D3 TRIMP N004 DI
  1520. R9 N004 LIM1 8k
  1521. R10 N005 N006 20
  1522. C6 VCC N005 20p
  1523. R4 N009 VO 1.8
  1524. R7 N007 VO 1
  1525. D4 VEE VO Dd1
  1526. G2 VEE N010 L_EN 0 {2/35}
  1527. .model LED D(Is=1e-18 Rs=4 N=1.6 Eg=1.7 CJO=60p Tt=10n)
  1528. .model Dd1 D(Is=1e-7 Rs=10 Cjo=5p Tt=5n)
  1529. .model DI D(Is=1e-6 N=0.01)
  1530. .model SW1 SW(Ron=100m Roff=1e7 Vt=0.5 VH=-0.4)
  1531. .model NPN2 NPN(Is=1e-14 BF=15 VAF=40 Cjc=10p TF=5e-10)
  1532. .ends hcpl-3140
  1533.  
  1534.  
  1535. * OPTOMOS CPC1018N_R05
  1536. *
  1537. .subckt optomos A K D1 D2
  1538. .param IFON=1m RON=0.65 TOFF=250u COUT=25p CIO=1p
  1539. * OPTOMOS CPC1018N_R05
  1540. *
  1541. D1 A N003 LED
  1542. C1 A K 10p
  1543. V1 N003 K 0
  1544. R2 gs 0 1k
  1545. C2 gs 0 {2*TOFF/1000}
  1546. B1 0 gs I=7m*atan(abs(0.8*I(V1)/IFON))
  1547. C4 A D2 {CIO/2}
  1548. C5 K D1 {CIO/2}
  1549. C7 D2 D1 {COUT}
  1550. M1 D2 N001 N004 N004 NMOS1
  1551. M2 D1 N005 N004 N004 NMOS1
  1552. G1 N004 N001 gs 0 1m
  1553. R1 N001 N004 1k
  1554. G2 N004 N005 gs 0 1m
  1555. R3 N005 N004 1k
  1556. V2 N002 0 6
  1557. D2 gs N002 DD
  1558. .model LED D(Is=1e-16 Rs=3 N=1.5 Eg=1.8 CJO=18p TT=100n)
  1559. .model NMOS1 NMOS(Vto=3.5 Kp={0.65/RON})
  1560. .model DD D(Is=1e-14 N=1)
  1561. .ends optomos
  1562.  
  1563.  
  1564. .SUBCKT AOD130A 1 2 3 4
  1565. .param k=0.01 kr=k*2
  1566. dlr 4 1 rrr
  1567. r1 4 40 2
  1568. df1 40 5 fff
  1569. va 5 1 0
  1570. df1t 40 1 ffft
  1571. b1 3 2 i=i(va)*kr
  1572. dfoto 2 3 df
  1573. .model df d is=1n rs=10 cjo=5p mj=0.5 vj=2
  1574. .model rrr d is=1n n=10 cjo=60p
  1575. .model fff d is=1e-18 n=1.33
  1576. .model ffft d is=1e-18 n=1.33 tt=88n
  1577. .ENDS AOD130A
  1578.  
  1579. * OPTO TRIAC
  1580. * Helmut Sennewald 8/10/2004
  1581. * MOC3020 I_trig=8mA
  1582. * D+ D- MT2 MT1
  1583. .SUBCKT MOC3020 1 2 3 4
  1584. .PARAM Itrig=15m
  1585. .PARAM RH1=20k
  1586. .PARAM RH2=20k
  1587. .PARAM RH3=16.7k
  1588. Q2 vb1 vb1p vd1 0 PNP1
  1589. Q1 vb1p vb1 4 0 NPN1
  1590. R3 vb1 4 {RH2}
  1591. D1 1 2 DL
  1592. R1 ctrl1 4 1
  1593. C1 ctrl1 4 10ยต
  1594. R2 ctrl1 vb1 {RH1}
  1595. R4 vd1 vb1p {RH3}
  1596. B1 ctrl1 4 I=-500*I(D1)*3m/Itrig
  1597. R6 vd2 vb2 {RH2}
  1598. D3 vd2 3 D1
  1599. Q3 vb2 vb2p 4 0 PNP1
  1600. Q4 vb2p vb2 vd2 0 NPN1
  1601. E1 vd2 N001 ctrl1 4 -1
  1602. R5 N001 vb2 {RH1}
  1603. R7 vb2p 4 {RH3}
  1604. D2 3 vd1 D1
  1605. R34 3 4 100MEG
  1606. .MODEL PNP1 PNP(Is=1f BF=10 Cjc=10p Cje=20p Tf=0.1u Ise=1p)
  1607. .MODEL NPN1 NPN(Is=1f BF=10 Cjc=10p Cje=20p Tf=0.1u Ise=1p)
  1608. .MODEL D1 D(Is=0.1u Rs=2 Cj0=50p)
  1609. .MODEL DL D(Is=1e-20 Rs=5)
  1610. .ENDS
  1611.  
  1612. * OPTO TRIAC
  1613. * Helmut Sennewald 8/10/2004
  1614. * MOC3021 I_trig=8mA
  1615. * D+ D- MT2 MT1
  1616. .SUBCKT MOC3021 1 2 3 4
  1617. .PARAM Itrig=8m
  1618. .PARAM RH1=20k
  1619. .PARAM RH2=20k
  1620. .PARAM RH3=16.7k
  1621. Q2 vb1 vb1p vd1 0 PNP1
  1622. Q1 vb1p vb1 4 0 NPN1
  1623. R3 vb1 4 {RH2}
  1624. D1 1 2 DL
  1625. R1 ctrl1 4 1
  1626. C1 ctrl1 4 10ยต
  1627. R2 ctrl1 vb1 {RH1}
  1628. R4 vd1 vb1p {RH3}
  1629. B1 ctrl1 4 I=-500*I(D1)*3m/Itrig
  1630. R6 vd2 vb2 {RH2}
  1631. D3 vd2 3 D1
  1632. Q3 vb2 vb2p 4 0 PNP1
  1633. Q4 vb2p vb2 vd2 0 NPN1
  1634. E1 vd2 N001 ctrl1 4 -1
  1635. R5 N001 vb2 {RH1}
  1636. R7 vb2p 4 {RH3}
  1637. D2 3 vd1 D1
  1638. R34 3 4 100MEG
  1639. .MODEL PNP1 PNP(Is=1f BF=10 Cjc=10p Cje=20p Tf=0.1u Ise=1p)
  1640. .MODEL NPN1 NPN(Is=1f BF=10 Cjc=10p Cje=20p Tf=0.1u Ise=1p)
  1641. .MODEL D1 D(Is=0.1u Rs=2 Cj0=50p)
  1642. .MODEL DL D(Is=1e-20 Rs=5)
  1643. .ENDS
  1644.  
  1645. * OPTO TRIAC
  1646. * Helmut Sennewald 8/10/2004
  1647. * MOC3022 I_trig=5mA
  1648. * D+ D- MT2 MT1
  1649. .SUBCKT MOC3022 1 2 3 4
  1650. .PARAM Itrig=5m
  1651. .PARAM RH1=20k
  1652. .PARAM RH2=20k
  1653. .PARAM RH3=16.7k
  1654. Q2 vb1 vb1p vd1 0 PNP1
  1655. Q1 vb1p vb1 4 0 NPN1
  1656. R3 vb1 4 {RH2}
  1657. D1 1 2 DL
  1658. R1 ctrl1 4 1
  1659. C1 ctrl1 4 10ยต
  1660. R2 ctrl1 vb1 {RH1}
  1661. R4 vd1 vb1p {RH3}
  1662. B1 ctrl1 4 I=-500*I(D1)*3m/Itrig
  1663. R6 vd2 vb2 {RH2}
  1664. D3 vd2 3 D1
  1665. Q3 vb2 vb2p 4 0 PNP1
  1666. Q4 vb2p vb2 vd2 0 NPN1
  1667. E1 vd2 N001 ctrl1 4 -1
  1668. R5 N001 vb2 {RH1}
  1669. R7 vb2p 4 {RH3}
  1670. D2 3 vd1 D1
  1671. R34 3 4 100MEG
  1672. .MODEL PNP1 PNP(Is=1f BF=10 Cjc=10p Cje=20p Tf=0.1u Ise=1p)
  1673. .MODEL NPN1 NPN(Is=1f BF=10 Cjc=10p Cje=20p Tf=0.1u Ise=1p)
  1674. .MODEL D1 D(Is=0.1u Rs=2 Cj0=50p)
  1675. .MODEL DL D(Is=1e-20 Rs=5)
  1676. .ENDS
  1677.  
  1678. * OPTO TRIAC
  1679. * Helmut Sennewald 8/10/2004
  1680. * MOC3023 I_trig=3mA
  1681. * D+ D- MT2 MT1
  1682. .SUBCKT MOC3023 1 2 3 4
  1683. .PARAM Itrig=3m
  1684. .PARAM RH1=20k
  1685. .PARAM RH2=20k
  1686. .PARAM RH3=16.7k
  1687. Q2 vb1 vb1p vd1 0 PNP1
  1688. Q1 vb1p vb1 4 0 NPN1
  1689. R3 vb1 4 {RH2}
  1690. D1 1 2 DL
  1691. R1 ctrl1 4 1
  1692. C1 ctrl1 4 10ยต
  1693. R2 ctrl1 vb1 {RH1}
  1694. R4 vd1 vb1p {RH3}
  1695. B1 ctrl1 4 I=-500*I(D1)*3m/Itrig
  1696. R6 vd2 vb2 {RH2}
  1697. D3 vd2 3 D1
  1698. Q3 vb2 vb2p 4 0 PNP1
  1699. Q4 vb2p vb2 vd2 0 NPN1
  1700. E1 vd2 N001 ctrl1 4 -1
  1701. R5 N001 vb2 {RH1}
  1702. R7 vb2p 4 {RH3}
  1703. D2 3 vd1 D1
  1704. R34 3 4 100MEG
  1705. C34 3 4 30p
  1706. .MODEL PNP1 PNP(Is=1f BF=10 Cjc=5p Cje=20p Tf=0.1u Ise=1p)
  1707. .MODEL NPN1 NPN(Is=1f BF=10 Cjc=5p Cje=20p Tf=0.1u Ise=1p)
  1708. .MODEL D1 D(Is=0.1u Rs=2 Cj0=50p)
  1709. .MODEL DL D(Is=1e-20 Rs=5)
  1710. .ENDS
  1711.  
  1712. * OPTO TRIAC With Zero Crossing Switching
  1713. * Helmut Sennewald 11/23/2008
  1714. * 04/12/2009, change in B-sources: V(ctrl10) -> V(ctrl10,4)
  1715. *
  1716. *
  1717. * MOC3081 I_trig=15mA
  1718. * D+ D- MT2 MT1
  1719. *
  1720. .subckt moc3081 1 2 3 4
  1721. .PARAM Itrig=15m
  1722. .PARAM RH1=20k
  1723. .PARAM RH2=20k
  1724. .PARAM RH3=16.7k
  1725. Q1 vb1p vb1 4 0 NPN1
  1726. Q2 vb1 vb1p vd1 0 PNP1
  1727. R3 vb1 4 {RH2}
  1728. D1 1 2 DL
  1729. R1 ctrl10 4 1
  1730. C1 ctrl10 4 10ยต
  1731. R2 ctrl1 vb1 {RH1}
  1732. R4 vd1 vb1p {RH3}
  1733. B1 ctrl10 4 I=-500*I(D1)*3m/Itrig
  1734. R7 vb2p 4 {RH3}
  1735. R6 vd2 vb2 {RH2}
  1736. Q3 vb2p vb2 vd2 0 NPN1
  1737. Q4 vb2 vb2p 4 0 PNP1
  1738. D3 vd2 3 D1
  1739. D2 3 vd1 D1
  1740. R5 N001 vb2 {RH1}
  1741. E1 vd2 N001 ctrl1 4 -1
  1742. R34 3 4 100MEG
  1743. R12 ctrl1 4 1
  1744. B2 ctrl1 4 V=IF(V(ctrl10,4)>1.45 & abs(V(34)) < 12, 2, 0)
  1745. C2 ctrl1 4 1ยต
  1746. B3 34 0 I=-V(3,4)/1000
  1747. R13 34 0 1k
  1748. C3 34 0 .1ยต
  1749. .MODEL PNP1 PNP(Is=1f BF=10 Cjc=10p Cje=20p Tf=0.1u Ise=1p)
  1750. .MODEL NPN1 NPN(Is=1f BF=10 Cjc=10p Cje=20p Tf=0.1u Ise=1p)
  1751. .MODEL D1 D(Is=0.1u Rs=2 Cj0=50p)
  1752. .MODEL DL D(Is=1e-20 Rs=5)
  1753. .ends moc_3081
  1754.  
  1755.  
  1756. * OPTO TRIAC With Zero Crossing Switching
  1757. * Helmut Sennewald 11/23/2008
  1758. * MOC3082 I_trig=10mA
  1759. * D+ D- MT2 MT1
  1760. *
  1761. .subckt moc3082 1 2 3 4
  1762. .PARAM Itrig=10m
  1763. .PARAM RH1=20k
  1764. .PARAM RH2=20k
  1765. .PARAM RH3=16.7k
  1766. Q1 vb1p vb1 4 0 NPN1
  1767. Q2 vb1 vb1p vd1 0 PNP1
  1768. R3 vb1 4 {RH2}
  1769. D1 1 2 DL
  1770. R1 ctrl10 4 1
  1771. C1 ctrl10 4 10ยต
  1772. R2 ctrl1 vb1 {RH1}
  1773. R4 vd1 vb1p {RH3}
  1774. B1 ctrl10 4 I=-500*I(D1)*3m/Itrig
  1775. R7 vb2p 4 {RH3}
  1776. R6 vd2 vb2 {RH2}
  1777. Q3 vb2p vb2 vd2 0 NPN1
  1778. Q4 vb2 vb2p 4 0 PNP1
  1779. D3 vd2 3 D1
  1780. D2 3 vd1 D1
  1781. R5 N001 vb2 {RH1}
  1782. E1 vd2 N001 ctrl1 4 -1
  1783. R34 3 4 100MEG
  1784. R12 ctrl1 4 1
  1785. B2 ctrl1 4 V=IF(V(ctrl10,4)>1.45 & abs(V(34)) < 12, 2, 0)
  1786. C2 ctrl1 4 1ยต
  1787. B3 34 0 I=-V(3,4)/1000
  1788. R13 34 0 1k
  1789. C3 34 0 .1ยต
  1790. .MODEL PNP1 PNP(Is=1f BF=10 Cjc=10p Cje=20p Tf=0.1u Ise=1p)
  1791. .MODEL NPN1 NPN(Is=1f BF=10 Cjc=10p Cje=20p Tf=0.1u Ise=1p)
  1792. .MODEL D1 D(Is=0.1u Rs=2 Cj0=50p)
  1793. .MODEL DL D(Is=1e-20 Rs=5)
  1794. .ends moc_3082
  1795.  
  1796.  
  1797. * OPTO TRIAC With Zero Crossing Switching
  1798. * Helmut Sennewald 11/23/2008
  1799. * MOC3083 I_trig=5mA
  1800. * D+ D- MT2 MT1
  1801. *
  1802. .subckt moc3083 1 2 3 4
  1803. .PARAM Itrig=5m
  1804. .PARAM RH1=20k
  1805. .PARAM RH2=20k
  1806. .PARAM RH3=16.7k
  1807. Q1 vb1p vb1 4 0 NPN1
  1808. Q2 vb1 vb1p vd1 0 PNP1
  1809. R3 vb1 4 {RH2}
  1810. D1 1 2 DL
  1811. R1 ctrl10 4 1
  1812. C1 ctrl10 4 10ยต
  1813. R2 ctrl1 vb1 {RH1}
  1814. R4 vd1 vb1p {RH3}
  1815. B1 ctrl10 4 I=-500*I(D1)*3m/Itrig
  1816. R7 vb2p 4 {RH3}
  1817. R6 vd2 vb2 {RH2}
  1818. Q3 vb2p vb2 vd2 0 NPN1
  1819. Q4 vb2 vb2p 4 0 PNP1
  1820. D3 vd2 3 D1
  1821. D2 3 vd1 D1
  1822. R5 N001 vb2 {RH1}
  1823. E1 vd2 N001 ctrl1 4 -1
  1824. R34 3 4 100MEG
  1825. R12 ctrl1 4 1
  1826. B2 ctrl1 4 V=IF(V(ctrl10,4)>1.45 & abs(V(34)) < 12, 2, 0)
  1827. C2 ctrl1 4 1ยต
  1828. B3 34 0 I=-V(3,4)/1000
  1829. R13 34 0 1k
  1830. C3 34 0 .1ยต
  1831. .MODEL PNP1 PNP(Is=1f BF=10 Cjc=10p Cje=20p Tf=0.1u Ise=1p)
  1832. .MODEL NPN1 NPN(Is=1f BF=10 Cjc=10p Cje=20p Tf=0.1u Ise=1p)
  1833. .MODEL D1 D(Is=0.1u Rs=2 Cj0=50p)
  1834. .MODEL DL D(Is=1e-20 Rs=5)
  1835. .ends moc_3083
  1836.  
  1837. * sfh2400.sub
  1838. * Helmut Sennewald, 16.05.2005
  1839. * A=Anode, K=Cathode, L=control current input
  1840. * Pin L: apply a current I=Efficiency(lambda)*Optical_power
  1841. * This input is referenced to common ground("0").
  1842. *
  1843. .subckt sfh2400 A K L
  1844. R0 L 0 1T
  1845. C0 K A 1.8p
  1846. Rsh K A 25G
  1847. V1 L 0 0
  1848. F1 0 N001 value={if(I(V1)>0, 2e4*I(V1),0)}
  1849. R1 N001 0 1
  1850. C1 N002 0 4.5n
  1851. G1 K A N002 0 1e-4
  1852. L1 N001 N002 0.8n
  1853. R2 N002 0 1
  1854. D1 A K SFH2400DIO
  1855. .model SFH2400DIO D(Is=100p N=1.3 Eg=1.0 Rs=8 Bv=35 Ibv=1u Cjo=9.2p Vj=0.6 M=0.9 )
  1856. .ends sfh2400
  1857. * The same model but with a reference pin for the control input current
  1858. .subckt sfh2400_4 A K L G
  1859. R0 L G 1T
  1860. C0 K A 1.8p
  1861. Rsh K A 25G
  1862. V1 L G 0
  1863. F1 0 N001 value={if(I(V1)>0, 2e4*I(V1),0)}
  1864. R1 N001 0 1
  1865. C1 N002 0 4.5n
  1866. G1 K A N002 0 1e-4
  1867. L1 N001 N002 0.8n
  1868. R2 N002 0 1
  1869. D1 A K SFH2400DIO
  1870. .model SFH2400DIO D(Is=100p N=1.3 Eg=1.0 Rs=8 Bv=35 Ibv=1u Cjo=9.2p Vj=0.6 M=0.9 )
  1871. .ends sfh2400_4
  1872.  
  1873.  
  1874. .subckt ShuntRef 1 2 Vref=1.22 rd=1 inom=1m imin=50u
  1875. d1 2 1 MyD
  1876. d2 1 2 dp
  1877. .model MyD D(Ron={rd} Roff={Vref/imin*1.05} Vfwd={Vref-rd*inom})
  1878. .model dp D(Ron=1 Roff=1G Vfwd=0.6)
  1879. .ends
  1880. .subckt ZXRE125 1 2 Vref=1.22 rd=1 inom=0.1m imin=30u
  1881. d1 2 1 MyD
  1882. d2 1 2 dp
  1883. c 1 2 20p
  1884. .model MyD D(Ron={rd} Roff={Vref/imin*1.05} Vfwd={Vref-rd*inom})
  1885. .model dp D(Ron=1 Roff=1G Vfwd=0.6)
  1886. .ends
  1887.  
  1888.  
  1889. *Ref: Temic Semiconductor Short Form, 1996
  1890. *220V 3W Si Zener pkg:DO214 1,2
  1891. .SUBCKT BZG03C220 1 2
  1892. * TERMINALS: A K
  1893. D1 1 2 DF
  1894. DZ 3 1 DR
  1895. VZ 2 3 215
  1896. .MODEL DF D (IS=15.1N RS=14M N=1.7 CJO=28P VJ=1 M=0.33 TT=50.1N)
  1897. .MODEL DR D (IS=67.6n RS=98.7 N=18)
  1898. .ENDS
  1899.  
  1900. .SUBCKT AD1580 8 1
  1901. r1 1 2 13.0k
  1902. r2 2 3 21k
  1903. r3 2 4 105k
  1904. r4 3 5 2.73k
  1905. c1 4 6 10p
  1906. q1 7 3 8 qn1
  1907. q2 4 5 8 qn2
  1908. q3 8 6 1 qp1
  1909. vic 5 7 0
  1910. f1 1 8 vic 0.8
  1911. g1 6 8 4 3 2e-4
  1912. .model qn1 npn(bf=100 vaf=100)
  1913. .model qn2 npn(bf=100 vaf=100)
  1914. .model qp1 pnp(bf=50k vaf=100)
  1915. .ends
  1916.  
  1917. .SUBCKT ZRC250 3 1
  1918. *Connections Gnd Vz
  1919. *
  1920. *Quiescent current
  1921. R1 1 49 320E3
  1922. R2 49 3 315.2E3
  1923. C1 49 1 4.5p
  1924. E1 50 3 49 3 1
  1925. D8 50 51 Dmod1
  1926. Rq 51 52 Rmod2 70E3
  1927. Vq 52 3 0
  1928. F1 1 3 Vq 1
  1929. *
  1930. *Reference voltage
  1931. Iref 3 21 1.2405E-3
  1932. Rref 21 3 Rmod1 1000
  1933. *
  1934. *Gain
  1935. G2 3 31 49 21 1e-7
  1936. Rt2 3 31 100E6
  1937. Rt3 3 32 3E6
  1938. Ct1 31 32 1.5E-13
  1939. D3 31 3 Dmod1
  1940. D4 3 31 Dmod1
  1941. *
  1942. *Output
  1943. G3 41 3 31 3 0.3
  1944. Rc1 6 5 10
  1945. D6 3 41 Dmod1
  1946. D7 3 6 Dmod1
  1947. L1 1 6 10nH
  1948. D9 5 41 Dmod2
  1949. *
  1950. .MODEL Qmod1 NPN BF=220 CJC=3p CJE=3p
  1951. .MODEL Rmod1 RES (TC1=3.8e-5 TC2=-1e-7)
  1952. .MODEL Rmod2 RES (TC1=1.7E-3 TC2=0)
  1953. .MODEL Dmod1 D IS=5f RS=4 BV=22
  1954. .MODEL Dmod2 D IS=1E-18 RS=.01
  1955. .ENDS ZRC250
  1956.  
  1957.  
  1958. .Subckt Stabplus out in com vst=5 drop=3 icc=50u
  1959. VAm1 4 out 0
  1960. F1 in 4 VAm1 1
  1961. B1 in 4 i={icc}*v(out,com)/{vst}
  1962. B2 4 com v=uramp(min({vst},v(in,com)-{vst}))
  1963. R in com 1Meg
  1964. .ends
  1965.  
  1966. .Subckt photodiod2 p dm dp
  1967. * Input Pwr(W) Cathode Anode
  1968. Rut dp dm {Rpd}
  1969. Q1 0 2 4 npn
  1970. VAm2 7 dp 0
  1971. f3 4 0 vam2 1
  1972. Q2 0 2 5 pnp
  1973. f4 0 5 vam2 1
  1974. VAm1 0 2 0
  1975. R1 p 0 1gig
  1976. D1 dp dmv diode
  1977. Rs dmv dm {rs}
  1978. g2 dmv 7 p 0 {k}
  1979. f1 dmv dp vam1 1.4142
  1980. .model npn npn bf=1
  1981. .model pnp pnp bf=1
  1982. .model diode D cjo={cjo} vj={vj} m={m} tt=10u
  1983. .ends
  1984.  
  1985. *Photo;PIN, 850nM .62A/W, 670n .477A/W
  1986. .SUBCKT BPW34S p 1 6
  1987. *k=0.477
  1988. R p 4 1k
  1989. C 4 0 5p
  1990. * Input Pwr(W) Cathode Anode
  1991. D2 6 1 DBPW34
  1992. b 1 6 i=200p*v(1,6)+v(4)*{k}
  1993. * Dark current as a function of VR (200P*V(1,6))
  1994. * and Spectral Photosensitivity (A/W) at 670nm (620M*77%=477M)
  1995. .MODEL DBPW34 D (IS=117P RS=.132 N=2.41 BV=32 IBV=.15U
  1996. + CJO=72P VJ=.75 M=.5 TT=504N) ; 32V 50mA diode model made by SpiceMod
  1997. .ENDS
  1998.  
  1999. *Photo;PIN, .4A/W
  2000. .SUBCKT OP905 p 1 6
  2001. *k=0.4
  2002. R p 4 1k
  2003. C 4 0 5p
  2004. * Input Pwr(W) Cathode Anode
  2005. D2 6 1 DBPW34
  2006. b 1 6 i=35p*v(1,6)+v(4)*{k}
  2007. .MODEL DBPW34 D (IS=10P RS=10 N=2 BV=60 IBV=.1U
  2008. + CJO=12P VJ=.75 M=.5 TT=500N)
  2009. .ENDS OP905
  2010.  
  2011. *Photo;PIN, 850nM .59A/W
  2012. .SUBCKT SFH203FA p 1 6
  2013. * k=0.59
  2014. cdop 1 6 2p
  2015. R p 4 1k
  2016. C 4 0 2p
  2017. * Input Pwr(W) Cathode Anode
  2018. D2 6 1 DSFH203FA
  2019. *G2 1 6 POLY(2) 1 6 4 0 0 50P 0.59
  2020. b 1 6 i=50p*v(1,6)+v(4)*{k}
  2021. .MODEL DSFH203FA D (IS=50P RS=.132 N=2.41 BV=32 IBV=.15U
  2022. + CJO=9P VJ=.75 M=.5 TT=504N)
  2023. .ENDS
  2024.  
  2025. .Subckt S6045_1 P dm dp k=0.5 ids=100p idg=100f
  2026. .param C0=10.8p rs=10
  2027. r p 0 1gig
  2028. * k- koeff A/Wt
  2029. g dm 8 P 0 {k}
  2030. Rs 12 dp {rs}
  2031. * C ot V
  2032. c dm 120 {C0}
  2033. va 120 12 0
  2034. bc dm 12 i=i(va)*(TABLE(v(dm,12), 0,1 , 30,0.4 , 50,0.3 , 65,0.2 , 100,0.13 , 150,0.12 , 200,0.12)-1)
  2035. * M ot V
  2036. B3 M 0 v=TABLE(v(dm,12), 0,1 , 120,2., 140,2.5, 160,4 , 170,5.5, 180,8 , 200,15 , 220,40 , 230,200 , 240,10000)
  2037. * F ot M
  2038. B4 F 0 v=TABLE(v(M), 1,1 , 5,1.26 , 10,1.6 , 40,3 , 100,4, 1000,10)
  2039. *
  2040. D1 8 dm diode
  2041. iidg dm 8 {idg}
  2042. iids dm 12 {ids}
  2043. .model diode D is=.0001f tt=10u
  2044. VAm2 8 13 0
  2045. VAm3 13 12 0
  2046. BM dm 13 i=i(vam2)*(v(M)-1)
  2047. *noise
  2048. Q1 0 9 10 npn
  2049. Q2 0 9 14 pnp
  2050. VAm4 0 9 0
  2051. B1 10 14 i=2*(i(vam3)*v(F)+{ids})
  2052. B2 dm 12 i=i(vam4)
  2053. *
  2054. .model npn npn bf=1 is=0.01f
  2055. .model pnp pnp bf=1 is=0.01f
  2056. .ends
  2057.  
  2058. .Subckt S8890-05 P dm dp k=0.5 ids=100p idg=2p
  2059. .param C0=10.8p rs=10
  2060. r p 0 1gig
  2061. * k- koeff A/Wt
  2062. g dm 8 P 0 {k}
  2063. Rs 12 dp {rs}
  2064. * C ot V
  2065. c dm 120 {C0}
  2066. va 120 12 0
  2067. bc dm 12 i=i(va)*(TABLE(v(dm,12), 0,1 , 65,0.4 , 100,0.2 , 150,0.05 , 200,0.05)-1)
  2068. * M ot V
  2069. B3 M 0 v=TABLE(v(dm,12), 0,1 , 120,1.5, 200,10 , 300,20 , 400,100 , 420,2000, 422,10000)
  2070. * F ot M
  2071. B4 F 0 v=TABLE(v(M), 1,1 , 5,1.26 , 10,1.6 , 40,3 , 100,4, 1000,10)
  2072. *
  2073. D1 8 dm diode
  2074. iidg dm 8 {idg}
  2075. iids dm 12 {ids}
  2076. .model diode D is=.0001f tt=10u
  2077. VAm2 8 13 0
  2078. VAm3 13 12 0
  2079. BM dm 13 i=i(vam2)*(v(M)-1)
  2080. *noise
  2081. Q1 0 9 10 npn
  2082. Q2 0 9 14 pnp
  2083. VAm4 0 9 0
  2084. B1 10 14 i=2*(i(vam3)*v(F)+{ids})
  2085. B2 dm 12 i=i(vam4)
  2086. *
  2087. .model npn npn bf=1 is=0.01f
  2088. .model pnp pnp bf=1 is=0.01f
  2089. .ends
  2090.  
  2091. *
  2092. .subckt 555 1 2 3 4 5 6 7 8
  2093. A1 N001 2 1 1 1 1 N003 1 SCHMITT Vt=0 Vh=1m
  2094. R1 N001 1 5K
  2095. R2 5 N001 5K
  2096. R3 8 5 5K
  2097. S1 1 7 N007 1 D
  2098. A2 N011 N003 1 1 1 1 N008 1 SRFLOP Trise=100n tripdt=10n
  2099. A3 6 5 1 1 1 1 N012 1 SCHMITT Vt=0 Vh=1m
  2100. S2 8 300 N009 1 Oh
  2101. D1s2 300 3 Ds2
  2102. S3a 3 1 1 N009 Ola
  2103. S3b 30 1 1 N009 Olb
  2104. ds3b 3 30 ds3
  2105. A6 1 N006 1 N008 1 1 N007 1 OR Ref=.5 Vlow=-1 Trise=100n
  2106. R7 8 1 4K
  2107. R9 2 1 1G
  2108. R10 6 1 1G
  2109. A4 1 N008 1 N006 1 N009 1 1 OR ref=.5 Vlow=-1 Trise=100n
  2110. A5 4 1 1 1 1 N006 1 1 SCHMITT Vt=.7 Vh=1m
  2111. D1 4 1 DR
  2112. A7 1 N006 1 N012 1 1 N011 1 OR
  2113. D2 8 4 400uA
  2114. .model Ds2 D(ron=4 Roff=1T Vfwd=0.9)
  2115. .model Ds3 D(ron=4 Roff=100 Vfwd=1.5)
  2116. .model DR D(Ron=150K Roff=1T Vfwd=1.6)
  2117. .model Oh SW(Ron=4 Roff=1Meg Vt=0 Vh=-.8 Ilimit=400m)
  2118. .model Ola SW(Ron=8 Roff=1Meg Vt=0 Vh=-.8 Ilimit=60m)
  2119. .model Olb SW(Ron=4 Roff=1Meg Vt=0 Vh=-.8 Ilimit=400m)
  2120. .model D SW(Ron=15 Roff=.75G Vt=.5 Vh=-.4 Ilimit=60m))
  2121. .model 400uA D(Ron=1K Ilimit=400u epsilon=.5)
  2122. c3 3 1 10p
  2123. .ends
  2124. *
  2125. .subckt 7555 1 2 3 4 5 6 7 8
  2126. A1 N001 2 1 1 1 1 N003 1 SCHMITT Vt=0 Vh=1m Trise=10n
  2127. R1 N001 1 100K
  2128. R2 5 N001 100K
  2129. R3 8 5 100K
  2130. S1 1 70 N007 1 D
  2131. A2 N011 N003 1 1 1 1 N008 1 SRFLOP Trise=100n tripdt=10n
  2132. A3 6 5 1 1 1 1 N012 1 SCHMITT Vt=0 Vh=1m Trise=10n
  2133. m3 3 1 30 8 mosp l=8u w=180u ad=3n
  2134. m2 3 8 300 1 mosn l=3.5u w=300u ad=3n
  2135. m1 7 8 70 1 mosn l=3.5u w=1000u ad=15n
  2136. Cmy3 3 1 3p
  2137. S2 8 30 N009 1 O
  2138. S3 300 1 1 N009 O
  2139. A6 1 N006 1 N008 1 1 N007 1 OR Ref=.5 Vlow=-1 Trise=100n
  2140. R7 8 1 50K
  2141. R9 2 1 1G
  2142. R10 6 1 1G
  2143. A4 1 N008 1 N006 1 N009 1 1 OR ref=.5 Vlow=-1 Trise=100n
  2144. A5 4 1 1 1 1 N006 1 1 SCHMITT Vt=.7 Vh=1m Trise=10n
  2145. A7 1 N006 1 N012 1 1 N011 1 OR Trise=10n
  2146. .model O SW(Ron=1 Roff=1Meg Vt=0 Vh=-.8)
  2147. .model D SW(Ron=1 Roff=.75G Vt=.5 Vh=-.4)
  2148. .model mosp pmos level=2 uo=200 vto=-0.8 tox=45n nsub=4.4e15 ucrit=116k uexp=186m vmax=236e3
  2149. + xj=305e-9 ld=103n pb=0.707 neff=665e-3 cj=232e-6 mj=330e-3 cjsw=58.7p
  2150. + mjsw=186.9e-3 cgso=0.84e-10 cgdo=0.8e-10 cgbo=1.24e-10
  2151. .model mosn nmos level=2 uo=600 vto=0.8 tox=45n nsub=2.8e16 ucrit=164k uexp=386m vmax=61.e3
  2152. + xj=475e-9 ld=136n pb=0.809 neff=679e-3 cj=335e-6 mj=284e-3 cjsw=2.40e-9
  2153. + mjsw=715.5e-3 cgso=1.10e-10 cgdo=1.1e-10 cgbo=1.39e-10
  2154. .model diode d
  2155. .ends
  2156.  
  2157. .SUBCKT LMC555 VN TRIGGERbar OUTPUT RESETbar CONTROL THRESHOLD DISCHARGE VP
  2158. R_R2 CONTROL N_1 100K
  2159. R_R3 N_1 VN 100K
  2160. R_R1 VP CONTROL 100K
  2161. X_MN7 N_3 N_2 N_4 VN NHV PARAMS: W=20u M=5
  2162. X_MP6 N_3 N_2 N_5 VP PHV PARAMS: W=100u M=4
  2163. X_MP7 N_3 N_6 VP VP PHV PARAMS: W=100u M=2
  2164. X_MN1 OUTPUT N_7 VN VN NHV PARAMS: W=122u M=10
  2165. X_MN3 N_7 N_8 VN VN NHV PARAMS: W=30.5u M=10
  2166. X_MN4 N_8 N_3 VN VN NHV PARAMS: W=25.4u M=3
  2167. X_MN5 N_3 N_8 VN VN NHV PARAMS: W=5u M=1
  2168. X_MP1 OUTPUT N_7 VP VP PHV PARAMS: W=100u M=17
  2169. X_MP2 N_7 N_8 VP VP PHV PARAMS: W=25u M=17
  2170. X_MP4 N_3 N_8 VP VP PHV PARAMS: W=5u M=3
  2171. X_MP3 N_8 N_3 VP VP PHV PARAMS: W=26.6u M=4
  2172. X_MN2 DISCHARGE N_7 VN VN NHV PARAMS: W=101u M=30
  2173. X_MP5 N_5 N_9 VP VP PHV PARAMS: W=100u M=4
  2174. E_E1 VP N_9 VALUE {((TANH(220*V(THRESHOLD,CONTROL))+1)/2)*(V(VP)-V(VN))}
  2175. E_E2 N_2 VN VALUE {((TANH(220*V(N_1,TRIGGERbar))+1)/2)*(V(VP)-V(VN))}
  2176. R_R4 N_10 VN 1K
  2177. V_V3 N_10 VN 0.9V
  2178. X_MN6 N_4 N_6 VN VN NHV PARAMS: W=20u M=5
  2179. E_E3 VP N_6 VALUE { ((TANH(22*V(N_10, RESETbar))+1)/2)*(V(VP)-V(VN)) }
  2180.  
  2181. *
  2182. .model nt nmos (level=7 ;intcap=1
  2183. +;version=3.1
  2184. +tnom=20 ;templev=2
  2185. +mobmod=1 capmod=2 xpart=0
  2186. +;acm=2 hdif=8e-7 ldif=1e-6
  2187. +rs=0 rd=9000 tox=1.35e-8
  2188. +xj=1.5e-7 nch=1.5e17 k1=0.96
  2189. +k2=-0.15 vth0=0.65 u0=516.4
  2190. +rsh=90 rdsw=0 lint=0.05e-6
  2191. +wint=0.12e-6 js=32.0e-3
  2192. +cgdo=2.5e-10
  2193. +cgso=2.5e-10 cgbo=3e-10 cj=4e-4
  2194. +cjsw=7e-10
  2195. +ua=1.182e-13 ub=1.98e-18
  2196. +uc=5.033e-11 k3=72.66 k3b=-34.46
  2197. +w0=4.532e-6 dwg=-7.677e-9 dwb=2.646e-8
  2198. +nlx=5.747e-8 dvt0=2.439 dvt1=0.4879
  2199. +dvt2=-0.2141 wr=1 voff=-0.1276
  2200. +nfactor=0.6019 cdsc=-0.01053 cdscd=-6.499e-4
  2201. +cdscb=2.659e-4 cit=0 vsat=9.664e4
  2202. +a0=0.5932 ags=0.1981 a1=0
  2203. +a2=1 b0=6.448e-7 b1=1.154e-6
  2204. +eta0=0.02354 etab=-0.01088 dsub=0.2295
  2205. +pvag=0.2255 pclm=1.307 pdiblc1=-0.2023
  2206. +pdiblc2=2.079e-3 pdiblcb=0 drout=1
  2207. +pscbe1=1e9 pscbe2=2e-7 keta=-0.06
  2208. +delta=0.01
  2209. +prt=0 ute=-2.023 kt1=-0.4279
  2210. +kt1l=6.188e-8 kt2=-0.09041 ua1=9.475p
  2211. +ub1=-3.811e-19 uc1=-5.629p at=0
  2212. +nj=2.5 xti=3
  2213. +pb=0.6 mjsw=0.33 pbsw=0.7
  2214. +mj=0.33 cle=0.6
  2215. +ckappa=0.6 cf=0 clc=1e-7 )
  2216. *
  2217. *
  2218. .model pt pmos (level=7 ;intcap=1
  2219. +;version=3.1
  2220. +tnom=20 ;templev=2
  2221. +mobmod=1 capmod=2 xpart=0
  2222. +;acm=2 hdif=8e-7 ldif=1e-6
  2223. +rs=5920 rd=3.77e4 tox=1.35e-8
  2224. +xj=1.5e-7 nch=5e16 k1=0.50
  2225. +k2=1.4e-4 vth0=-0.90 u0=255.5
  2226. +rsh=110 rdsw=2.042e4 lint=0.40e-6
  2227. +wint=0.14e-6 js=6.4e-3
  2228. +cgdo=2.5e-10
  2229. +cgso=2.5e-10 cgbo=3e-10 cj=7.0e-4
  2230. +cjsw=2.7e-10
  2231. +ua=3.001e-9 ub=6.211e-19
  2232. +uc=-6.942e-11 k3=118 k3b=-14.66
  2233. +w0=5.165e-6 dwg=-1.283e-8 dwb=1.559e-8
  2234. +nlx=-8.897e-8 dvt0=0.1879 dvt1=0.6893
  2235. +dvt2=-2.772 prwg=-0.3822 prwb=0
  2236. +wr=1 voff=-0.1446 nfactor=0.9027
  2237. +cdsc=3.591e-3 cdscd=3.109e-4 cdscb=0.01282
  2238. +cit=0 vsat=9.887e4 a0=0.336
  2239. +ags=0.2114 a1=5.591e-4 a2=1.444
  2240. +b0=4.286e-6 b1=7.021e-6 eta0=4.973e-3
  2241. +etab=-4.008e-3 dsub=0.1147 pvag=1.516
  2242. +pclm=2.608 pdiblc1=0.5085 pdiblc2=7.607e-4
  2243. +pdiblcb=0.3184 drout=0.788 pscbe1=1.151e10
  2244. +pscbe2=3.049e-8 keta=-0.01241 delta=0.01
  2245. +trd=3.279e-3
  2246. +trs=0 prt=0 ute=-1.854
  2247. +kt1=-0.5225 kt1l=-2.369e-8 kt2=-0.07349
  2248. +ua1=1.655e-10 ub1=-4.449e-18 uc1=6.875e-11
  2249. +at=0 nj=2.5 xti=3
  2250. +mj=0.474 pb=0.8 mjsw=0.27
  2251. +pbsw=0.8 ckappa=0.6 cf=0
  2252. +clc=1e-7 cle=0.6 )
  2253. *
  2254. *
  2255. *
  2256. .model jnx njf ( ;level=1
  2257. +beta=1.740e-5 lambda=0 vto=-21
  2258. +rd=10 rs=10 ;bex=-1.3998
  2259. +cgs=1e-14 cgd=1e-14 pb=0.7 )
  2260. *
  2261. .model nnx nmos ( ;version=3.1
  2262. +level=7 ;intcap=1
  2263. +tnom=21 ;templev=2
  2264. +mobmod=1
  2265. +nqsmod=0 capmod=2 xpart=0
  2266. +;acm=2
  2267. +noimod=1 ;hdif=8e-7
  2268. +tox=2.65e-8
  2269. +xj=1.5e-7 nch=1.5e17 k1=0.963
  2270. +k2=-0.073 vth0=0.694 u0=627.225
  2271. +rsh=90 rdsw=138.519 lint=4.801e-7
  2272. +wint=1.711e-7 js=0.03 cgdo=3e-10
  2273. +cgso=2.5e-10 cgbo=3e-10 cj=4.02e-4
  2274. +cjsw=2.65e-10
  2275. +ua=9.083e-10 ub=5.344e-18
  2276. +uc=3.635e-11 k3=20.954 k3b=-11.617
  2277. +w0=2.658e-7 dwg=-8.5e-9 dwb=6.449e-9
  2278. +nlx=2.639e-10 dvt0=0.366 dvt1=0.075
  2279. +dvt2=-0.049 prwg=-0.015 prwb=-0.076
  2280. +wr=1 voff=-0.046 nfactor=0.73
  2281. +cdsc=-3.608e-4 cdscd=-5.632e-5 cdscb=-1.099e-4
  2282. +cit=0 vsat=8.863e4 a0=0.054
  2283. +ags=0.031 a1=0 a2=1
  2284. +b0=6.103e-7 b1=1.489e-7 eta0=-0.072
  2285. +etab=-0.187 dsub=0.456 pvag=1.094
  2286. +pclm=0.905 pdiblc1=0.015 pdiblc2=4.145e-3
  2287. +pdiblcb=0.08 drout=0.083 pscbe1=1e9
  2288. +pscbe2=2e-7 keta=-0.019 delta=0.01
  2289. +wwl=-6.117e-20 lwl=-4.892e-20
  2290. +prt=1.852e3
  2291. +ute=-1.765 kt1=-0.44 kt1l=8.637e-8
  2292. +kt2=-0.079 ua1=1.699e-9 ub1=-7.213e-18
  2293. +uc1=-4.672e-11 at=5.173e3 n=1.83
  2294. +xti=3 mj=0.41 pb=0.8
  2295. +mjsw=0.199 pbsw=0.8 elm=5
  2296. +cgsl=0 cgdl=0 ckappa=0.6
  2297. +cf=0 clc=1e-7 cle=0.6 )
  2298. *
  2299. *
  2300. .model jpx pjf ( ;level=1
  2301. +beta=3.449e-6 lambda=0 vto=-18.6937
  2302. +rd=10 rs=10 ;bex=-1.2759
  2303. +cgs=1e-14 cgd=1e-14 pb=0.7 )
  2304. *
  2305. .model ppx pmos ( ;version=3.1
  2306. +level=7 ;intcap=1
  2307. +tnom=21 ;templev=2
  2308. +mobmod=1
  2309. +nqsmod=0 capmod=2 xpart=0
  2310. +;acm=2
  2311. +noimod=1 ;hdif=8e-7
  2312. +tox=2.65e-8
  2313. +xj=1.5e-7 nch=5e16 k1=0.944
  2314. +k2=-5.095e-5 vth0=-1.562 u0=202.436
  2315. +rsh=90 rdsw=1e3 lint=4.209e-7
  2316. +wint=1.844e-7 js=5e-3 cgdo=3e-10
  2317. +cgso=2.5e-10 cgbo=3e-10 cj=4.02e-4
  2318. +cjsw=2.65e-10
  2319. +ua=3.699e-9 ub=1e-21
  2320. +uc=-5.688e-11 k3=27.517 k3b=-6.989
  2321. +w0=4.735e-6 dwg=-8.738e-9 dwb=2.389e-8
  2322. +nlx=0 dvt0=0.105 dvt1=0.027
  2323. +dvt2=-0.106 prwg=-2.068e-4 prwb=-0.433
  2324. +wr=1 voff=-0.049 nfactor=0.75
  2325. +cdsc=-1.802e-4 cdscd=6.693e-6 cdscb=-2.478e-5
  2326. +cit=0 vsat=1.892e5 a0=0.558
  2327. +ags=0.076 a1=0 a2=1
  2328. +b0=4.855e-6 b1=1.205e-5 eta0=-0.137
  2329. +etab=-0.105 dsub=1.999 pvag=2.177e-3
  2330. +pclm=2.379 pdiblc1=0.06 pdiblc2=1.968e-3
  2331. +pdiblcb=-0.276 drout=0.32 pscbe1=1e9
  2332. +pscbe2=3e-8 keta=4.992e-3 delta=0.01
  2333. +wwl=-6.117e-20 lwl=-4.892e-20
  2334. +prt=355.913
  2335. +ute=-1.472 kt1=-0.538 kt1l=-5.849e-8
  2336. +kt2=-0.067 ua1=-3.078e-11 ub1=-4.705e-18
  2337. +uc1=-9.696e-11 at=1.473e5 n=1.83
  2338. +mj=0.41 pb=0.8 mjsw=0.199
  2339. +pbsw=0.8 elm=5 cgsl=0
  2340. +cgdl=0 ckappa=0.6 cf=0
  2341. +clc=1e-7 cle=0.6 )
  2342. *
  2343. *----------------------------------------------------------------------------*
  2344. .SUBCKT PHV d g s b PARAMS: W=20u M=1
  2345. M1 1 g s b ppx W={W} L=5u M={M}
  2346. J1 d b 1 jpx {W/20u*M}
  2347. .ENDS PHV
  2348. *
  2349. .SUBCKT NHV d g s b PARAMS: W=20u M=1
  2350. m1 1 g s b nnx W={W} L=5u M={M}
  2351. j1 d b 1 jnx {W/20u*M}
  2352. .ENDS NHV
  2353. *
  2354. .ENDS LMC555
  2355.  
  2356.  
  2357. .Subckt MIC1557 T_T CS GND OUT VS vcc=5
  2358. C4 OUT GND 40p
  2359. R1 T_T GND 1GIG
  2360. B3 VS GND i=16e-5+13e-6*V(vs,gnd)*u(v(cs,gnd)-0.5*v(vs,gnd)) Rpar=100meg
  2361. R2 CS GND 1GIG
  2362. bnormcs csv 0 v=v(cs,gnd)/limit(1m,v(vs,gnd),22)
  2363. bnormin in 0 v=v(T_T,gnd)/limit(1m,v(vs,gnd),22)
  2364. A1 in 0 0 0 0 1 0 0 SCHMITT vt=0.5 vh=0.1666666 Trise={70n/vcc} td={80n/vcc}
  2365. A2 csv 0 0 0 0 0 2 0 SCHMITT vt=0.5 vh=0.1666666 Trise={70n/vcc} td={70n/vcc}
  2366. A3 2 0 0 0 1 nq 0 0 AND Trise={70n/vcc} td={75n/vcc}
  2367. C2 T_T GND 4p
  2368. Bout ov gnd v=v(nq)*v(vs,gnd)
  2369. mn out ov gnd n
  2370. mp out ov vs p
  2371. .model n vdmos vto=0.9 kp=13m lambda=30m mtriode=3 rd=6 Cgs=100p rg=300
  2372. .model p vdmos pchan vto=-0.9 kp=13m lambda=30m mtriode=3 rd=6 Cgs=100p rg=300
  2373. .ends MIC1557
  2374.  
  2375. .subckt 74AHC1G14 in out vcc gnd
  2376. d1 gnd in di
  2377. d2 in vcc di
  2378. msp2 5 in vcc vcc lvp w=36u l=2u ad=140p pd=50u
  2379. msn2 6 in gnd gnd lvn w=16u l=2u ad= 70p pd=15u
  2380. msp3 2 in 5 vcc lvp w=44u l=4u ad=220p pd=60u
  2381. msn3 2 in 6 6 lvn w=17u l=2u ad= 70p as= 70p pd=15u ps=16u
  2382. msp4 5 2 gnd vcc lvp w=36u l=2u ad=150p as=150p pd=60u ps=36u
  2383. msn4 6 2 vcc 6 lvn w= 6u l=4u ad= 25p as= 25p pd=10u ps= 6u
  2384. cf1 5 vcc 20f
  2385. cf2 out gnd 1p
  2386. mp2 3 2 vcc vcc lvp w=364u l=2u ad=500p as=500p pd=10u ps=430u
  2387. mn2 3 2 gnd gnd lvn w=184u l=2u ad=275p as=275p pd=10u ps=270u
  2388. r2 3 4 170
  2389. mp3 out 4 vcc vcc lvpo w=1080u l=2u ad=1200p as=1200p pd=30u ps=540u
  2390. mn3 out 4 gnd gnd lvno w=420u l=2u ad=600p as=600p pd=30u ps=390u
  2391. .model di d cjo=3p
  2392. .model lvn nmos level=3 kp=65u vto=0.8 tox=30n nsub=2.8e15 gamma=0.94 phi=0.65 vmax=150k
  2393. + xj=0.11u ld=0.4u theta=0.054
  2394. .model lvp pmos level=3 kp=20.3u vto=-0.8 tox=30n nsub=3.3e16 gamma=0.92 phi=0.65
  2395. + vmax=970k xj=0.63u ld=0.15u theta=0.108
  2396. .model lvno nmos level=3 kp=65u vto=0.8 tox=30n nsub=2.8e15 gamma=0.94 phi=0.65 vmax=150k
  2397. + xj=0.11u ld=0.4u theta=0.054
  2398. .model lvpo pmos level=3 kp=20.3u vto=-0.8 tox=30n nsub=3.3e16 gamma=0.92 phi=0.65
  2399. +vmax=970k xj=0.63u ld=0.15u theta=0.108
  2400. .ends
  2401.  
  2402. .subckt 74AHC1G02 a b y vcc gnd
  2403. c vcc gnd 2p
  2404. ra a av 100
  2405. rb b bv 100
  2406. da1 av vcc di
  2407. da2 gnd av di
  2408. db1 bv vcc di
  2409. db2 gnd bv di
  2410. raw aw gnd 1Gig
  2411. mpi1 aw av vcc vcc lvp w=88u l=2u ad=290p as=550p pd=10u ps=100u
  2412. mni1 aw av gnd gnd lvn w=56u l=2u ad=162p as=550p pd=10u ps=75u
  2413. mpi2 bw bv vcc vcc lvp w=88u l=2u ad=290p as=550p pd=10u ps=100u
  2414. mni2 bw bv gnd gnd lvn w=56u l=2u ad=162p as=550p pd=10u ps=75u
  2415. *
  2416. MPL1 3 aw vcc vcc LVp w=112u L=2u AD=150P AS=300P PD= 75U PS=150U
  2417. MPL2 3 bw vcc vcc LVp w=112u L=2u AD=150P AS=300P PD= 75U PS=150U
  2418. MNL1 3 aw p gnd LVN w=300u L=2u AD=300P AS=300P PD=300U PS=300U
  2419. MNL2 p bw gnd gnd LVN w=300u L=2u AD=300P AS=300P PD=300U PS=300U
  2420. rfik1 p gnd 1Gig
  2421. rfik2 p 3 1Gig
  2422. cfik p gnd 10f
  2423. r2 3 4 170
  2424. mpout y 4 vcc vcc lvpo w=1080u l=2u ad=1200p as=1200p pd=30u ps=540u
  2425. mnout y 4 gnd gnd lvno w=420u l=2u ad=600p as=600p pd=30u ps=390u
  2426. cout y gnd 1.5p ic=0
  2427. .model di d cjo=3p
  2428. .model lvn nmos level=3 kp=65u vto=0.8 tox=30n nsub=2.8e15 gamma=0.94 phi=0.65 vmax=150k
  2429. + xj=0.11u ld=0.4u theta=0.054
  2430. .model lvp pmos level=3 kp=20.3u vto=-0.8 tox=30n nsub=3.3e16 gamma=0.92 phi=0.65
  2431. + vmax=970k xj=0.63u ld=0.15u theta=0.108
  2432. .model lvno nmos level=3 kp=65u vto=0.8 tox=30n nsub=2.8e15 gamma=0.94 phi=0.65 vmax=150k
  2433. + xj=0.11u ld=0.4u theta=0.054
  2434. .model lvpo pmos level=3 kp=20.3u vto=-0.8 tox=30n nsub=3.3e16 gamma=0.92 phi=0.65
  2435. +vmax=970k xj=0.63u ld=0.15u theta=0.108
  2436. .ends 74AHC1G02
  2437.  
  2438.  
  2439. .subckt 74AHC1G08 a b y vcc gnd
  2440. d1 gnd a dio
  2441. d2 a vcc dio
  2442. d3 gnd b dio
  2443. d4 b vcc dio
  2444. .model dio d cjo=3p
  2445. mpa1 a2 a vcc vcc lvp w=88u l=2u ad=290p pd=10u
  2446. mna1 a2 a gnd gnd lvn w=56u l=2u ad=162p pd=10u
  2447. mpb1 b2 b vcc vcc lvp w=88u l=2u ad=290p pd=10u
  2448. mnb1 b2 b gnd gnd lvn w=56u l=2u ad=162p pd=10u
  2449. r1 a2 gnd 100Meg
  2450. r2 b2 gnd 100Meg
  2451. mpoa 10 a2 vcc vcc lvp w=88u l=2u ad=140p pd=5u
  2452. r10 10 3 100Meg
  2453. c10 10 vcc 50f Rpar=100Meg
  2454. mpob 3 b2 10 vcc lvp w=88u l=2u ad=290p as=140p pd=10u ps=5u
  2455. mnoa1 3 a2 gnd gnd lvn w=56u l=2u ad=162p pd=10u
  2456. mnob1 3 b2 gnd gnd lvn w=56u l=2u ad=162p pd=10u
  2457. mp2 4 3 vcc vcc lvp w=364u l=2u ad=500p pd=10u
  2458. mn2 4 3 gnd gnd lvn w=184u l=2u ad=275p pd=10u
  2459. mp3 y 4 vcc vcc lvpo w=1080u l=2u ad=1200p pd=30u
  2460. mn3 y 4 gnd gnd lvno w=420u l=2u ad=600p pd=30u
  2461. cout y gnd 1p Rpar=100Meg
  2462. .model lvn nmos level=3 kp=65u vto=0.8 tox=30n nsub=2.8e15 gamma=0.94 phi=0.65 vmax=150k
  2463. + xj=0.11u ld=0.4u theta=0.054
  2464. .model lvp pmos level=3 kp=20.3u vto=-0.8 tox=30n nsub=3.3e16 gamma=0.92 phi=0.65 vmax=970k
  2465. + xj=0.63u ld=0.15u theta=0.108
  2466. .model lvno nmos level=3 kp=65u vto=0.8 tox=30n nsub=2.8e15 gamma=0.94 phi=0.65 vmax=150k
  2467. + xj=0.11u ld=0.4u theta=0.054
  2468. .model lvpo pmos level=3 kp=20.3u vto=-0.8 tox=30n nsub=3.3e16 gamma=0.92 phi=0.65 vmax=970k
  2469. + xj=0.63u ld=0.15u theta=0.108
  2470. .ends
  2471.  
  2472. .subckt 74AHC1G04 in out vcc gnd
  2473. d1 gnd in dio
  2474. d2 in vcc dio
  2475. .model dio d cjo=3p
  2476. mp1 2 in vcc vcc lvp w=88u l=2u ad=290p as=550p pd=10u ps=100u
  2477. mn1 2 in gnd gnd lvn w=56u l=2u ad=162p as=550p pd=10u ps=75u
  2478. rf1 2 gnd 100Meg
  2479. mp2 3 2 vcc vcc lvp w=364u l=2u ad=500p as=500p pd=10u ps=430u
  2480. mn2 3 2 gnd gnd lvn w=184u l=2u ad=275p as=275p pd=10u ps=270u
  2481. rf2 3 gnd 100Meg
  2482. mp3 out 3 vcc vcc lvpo w=1080u l=2u ad=1200p as=1200p pd=30u ps=540u
  2483. mn3 out 3 gnd gnd lvno w=420u l=2u ad=600p as=600p pd=30u ps=390u
  2484. cout out gnd 2p Rpar=100Meg
  2485. .model lvn nmos level=3 kp=65u vto=0.8 tox=30n nsub=2.8e15 gamma=0.94 phi=0.65 vmax=150k
  2486. + xj=0.11e-6 ld=0.4e-6 theta=0.054
  2487. .model lvp pmos level=3 kp=20.3u vto=-0.8 tox=30n nsub=3.3e16 gamma=0.92 phi=0.65 vmax=970k
  2488. + xj=0.63e-6 ld=0.15e-6 theta=0.108
  2489. .model lvno nmos level=3 kp=65u vto=0.8 tox=30n nsub=2.8e15 gamma=0.94 phi=0.65 vmax=150k
  2490. + rs=10 rd=10 xj=0.11e-6 ld=0.4e-6 theta=0.054
  2491. .model lvpo pmos level=3 kp=20.3u vto=-0.8 tox=30n nsub=3.3e16 gamma=0.92 phi=0.65 vmax=970k
  2492. + rs=20 rd=20 xj=0.63e-6 ld=0.15e-6 theta=0.108
  2493. .ends 74AHC1G04
  2494.  
  2495. .SUBCKT 74HCU04_my in out vcc gnd
  2496. r in inv 100
  2497. C1 inv gnd 1.5P
  2498. C2 inv vcc 1.5P
  2499. C3 out vcc 1.5P
  2500. C4 out gnd 1.5P
  2501. d1 inv vcc di
  2502. d2 gnd inv di
  2503. .model di d
  2504. R1 inv 4 150
  2505. MP1 out 4 vcc vcc MHCPEN W=220U L=2.4U AD=1000P PD=30U
  2506. MN1 out 4 gnd gnd MHCNEN W=100U L=2.4U AD=500P PD=30U
  2507. .Model MHCNEN NMOS (LEVEL=3 RS=40 RD=40
  2508. +KP=45.3E-6 VTO=0.72 TOX=51.5E-9 nfs=1.E+11
  2509. +NSUB=2.8E15 GAMMA=0.94 PHI=0.65 VMAX=150E3 RS=13.3 RD=13.3
  2510. +XJ=0.11E-6 LD=0.52E-6 THETA=0.054 ETA=0.025 KAPPA=0.0)
  2511. .Model MHCPEN PMOS (LEVEL=3 RS=80 RD=80
  2512. +KP=22.1E-6 VTO=-0.71 TOX=51.5E-9 NSUB=3.3E16 nfs=7E+11
  2513. +GAMMA=0.92 PHI=0.65 VMAX=970E3
  2514. +XJ=0.63E-6 LD=0.23E-6 THETA=0.108 ETA=0.322 )
  2515. .ENDS
  2516. *
  2517.  
  2518. .subckt SN74AHC1G00 a b y vcc gnd
  2519. da1 a vcc dio
  2520. da2 gnd a dio
  2521. db1 b vcc dio
  2522. db2 gnd b dio
  2523. .model dio d cjo=3p
  2524. mpi1 aw a vcc vcc lvp w=88u l=2u ad=290p as=550p pd=10u ps=100u
  2525. mni1 aw a gnd gnd lvn w=56u l=2u ad=162p as=550p pd=10u ps=75u
  2526. r1 aw gnd 100Meg
  2527. r2 bw gnd 100Meg
  2528. mpi2 bw b vcc vcc lvp w=88u l=2u ad=290p as=550p pd=10u ps=100u
  2529. mni2 bw b gnd gnd lvn w=56u l=2u ad=162p as=550p pd=10u ps=75u
  2530. *
  2531. mp1 3 aw p vcc lvp w=420u l=2u ad=200p as=500p pd=10u ps=430u
  2532. mn1 3 aw gnd gnd lvn w=184u l=2u ad=275p as=275p pd=10u ps=270u
  2533. mp2 p bw vcc vcc lvp w=420u l=2u ad=500p as=200p pd=10u ps=430u
  2534. mn2 3 bw gnd gnd lvn w=184u l=2u ad=275p as=275p pd=10u ps=270u
  2535. rfik p vcc 100Meg
  2536. rfik2 3 vcc 100Meg
  2537. mp3 y 3 vcc vcc lvp w=1080u l=2u ad=1200p as=1200p pd=30u ps=540u
  2538. mn3 y 3 gnd gnd lvn w=420u l=2u ad=600p as=600p pd=30u ps=390u
  2539. cout y gnd 2p Rpar=100Meg
  2540. .model lvn nmos level=3 kp=47u vto=0.8 tox=30n nsub=2.8e15 gamma=1 phi=0.65 vmax=150e3 xj=0.11u ld=0.4u theta=0.054
  2541. .model lvp pmos level=3 kp=11u vto=-0.8 tox=30n nsub=3.3e16 gamma=1 phi=0.65 vmax=970e3 xj=0.63u ld=0.15u theta=0.108
  2542. .ends SN74AHC1G00
  2543.  
  2544. * Node Assignments
  2545. * noninverting input
  2546. * | inverting input
  2547. * | | positive supply
  2548. * | | | negative supply
  2549. * | | | | output
  2550. * | | | | |
  2551. * | | | | |
  2552. .SUBCKT AD8541 1 2 99 50 45
  2553. *
  2554. * INPUT STAGE
  2555. *
  2556. M1 4 1 8 8 PIX L=0.6u W=16u
  2557. M2 6 7 8 8 PIX L=0.6u W=16u
  2558. M3 11 1 10 10 NIX L=0.6u W=16u
  2559. M4 12 7 10 10 NIX L=0.6u W=16u
  2560. RC1 4 50 20E3
  2561. RC2 6 50 20E3
  2562. RC3 99 11 20E3
  2563. RC4 99 12 20E3
  2564. C1 4 6 1.5p
  2565. C2 11 12 1.5p
  2566. I1 99 8 1E-5
  2567. I2 10 50 1E-5
  2568. V1 99 9 0.2
  2569. V2 13 50 0.2
  2570. D1 8 9 DX
  2571. D2 13 10 DX
  2572. *EOS 7 2 POLY(3) (22,98) (73,98) (81,0) 1E-3 1 1 1
  2573. BEOS 7 2 V=1E-3 + V(22,98) + V(73,98) + V(81,0)
  2574.  
  2575. IOS 1 2 2.5p
  2576. *
  2577. * CMRR 64dB, ZERO AT 20kHz
  2578. *
  2579. *ECM1 21 98 POLY(2) (1,98) (2,98) 0 .5 .5
  2580. BECM1 21 98 V=0.5*(V(1,98) + V(2,98))
  2581.  
  2582. RCM1 21 22 79.6E3
  2583. CCM1 21 22 100p
  2584. RCM2 22 98 50
  2585. *
  2586. * PSRR=90DB, ZERO AT 200Hz
  2587. *
  2588. RPS1 70 0 1E6
  2589. RPS2 71 0 1E6
  2590. CPS1 99 70 1E-5
  2591. CPS2 50 71 1E-5
  2592. *EPSY 98 72 POLY(2) (70,0) (0,71) 0 1 1
  2593. BEPSY 98 72 V=V(70,0) + V(0,71)
  2594.  
  2595. RPS3 72 73 1.59E6
  2596. CPS3 72 73 500p
  2597. RPS4 73 98 25
  2598. *
  2599. * VOLTAGE NOISE REFERENCE OF 35NV/RT(Hz)
  2600. *
  2601. VN1 80 0 0
  2602. RN1 80 0 16.45E-3
  2603.  
  2604. *HN 81 0 VN1 35
  2605. BHN 81 0 V=35*I(VN1)
  2606.  
  2607. RN2 81 0 1
  2608. *
  2609. * INTERNAL VOLTAGE REFERENCE
  2610. *
  2611. *VFIX 90 98 DC 1
  2612. VFIX 90 98 1
  2613.  
  2614. S1 90 91 50 99 VSY_SWITCH
  2615. *VSN1 91 92 DC 0
  2616. VSN1 91 92 0
  2617.  
  2618. RSY 92 98 1E3
  2619. *EREF 98 0 POLY(2) (99,0) (50,0) 0 .5 .5
  2620. BEREF 98 0 V=0.5*V(99,0) + 0.5*V(50,0)
  2621.  
  2622. *GSY 99 50 POLY(1) (99,50) 0 3.7E-6
  2623. BGSY 99 50 I=3.7E-6*V(99,50)
  2624.  
  2625. *
  2626. * ADAPTIVE GAIN STAGE
  2627. * AT VSY>+4.2, AVOL=45 V/MV
  2628. * AT VSY<+3.8, AVOL=450 V/MV
  2629. *
  2630.  
  2631. *G1 98 30 POLY(2) (4,6) (11,12) 0 2.5E-5 2.5E-5
  2632. BG1 98 30 I=2.5E-5*(V(4,6) +V(11,12))
  2633.  
  2634. *VR1 30 31 DC 0
  2635. VR1 30 31 0
  2636.  
  2637.  
  2638. *H1 31 98 POLY(2) VR1 VSN1 0 5.45E6 0 0 49.05E9
  2639. BH1 31 98 V=0 + 5.45E6*I(VR1) + 49.05E9*I(VR1)*I(VSN1)
  2640.  
  2641. CF 45 30 10p
  2642. D3 30 99 DX
  2643. D4 50 30 DX
  2644. *
  2645. * OUTPUT STAGE
  2646. *
  2647. M5 45 46 99 99 POX L=0.6u W=375u
  2648. M6 45 47 50 50 NOX L=0.6u W=500u
  2649.  
  2650. *EG1 99 46 POLY(1) (98,30) 1.05 1
  2651. BEG1 99 46 V=1.05 + V(98,30)
  2652.  
  2653. *EG2 47 50 POLY(1) (30,98) 1.04 1
  2654. BEG2 47 50 V=1.04 + V(30,98)
  2655.  
  2656. *
  2657. * MODELS
  2658. *
  2659. .MODEL POX PMOS (LEVEL=2,KP=20u,VTO=-1,LAMBDA=0.067)
  2660. .MODEL NOX NMOS (LEVEL=2,KP=20u,VTO=1,LAMBDA=0.067)
  2661. .MODEL PIX PMOS (LEVEL=2,KP=20u,VTO=-0.7,LAMBDA=0.01,KF=1E-31)
  2662. .MODEL NIX NMOS (LEVEL=2,KP=20u,VTO=0.7,LAMBDA=0.01,KF=1E-31)
  2663. .MODEL DX D(IS=1E-14)
  2664. *.MODEL VSY_SWITCH VSWITCH(ROFF=100E3,RON=1,VOFF=-4.2,VON=-3.5)
  2665. .MODEL VSY_SWITCH SW(ROFF=100E3,RON=1,VT=-3.85,VH=0.35)
  2666. .ENDS AD8541
  2667.  
  2668. * Node Assignments
  2669. * noninverting input
  2670. * | inverting input
  2671. * | | positive supply
  2672. * | | | negative supply
  2673. * | | | | output
  2674. * | | | | |
  2675. * | | | | |
  2676. .SUBCKT AD8603 1 2 99 50 45
  2677. *
  2678. * INPUT STAGE
  2679. *
  2680. M1 14 7 8 8 PIX L=1u W=42u
  2681. M2 16 2 8 8 PIX L=1u W=42u
  2682. M3 17 7 10 10 NIX L=1u W=42u
  2683. M4 18 2 10 10 NIX L=1u W=42u
  2684. RC5 14 50 1E+5
  2685. RC6 16 50 1E+5
  2686. RC7 99 17 1E+5
  2687. RC8 99 18 1E+5
  2688. C1 14 16 0.8p
  2689. C2 17 18 0.8p
  2690. I1 99 8 4u
  2691. I2 10 50 4u
  2692. V1 99 9 0.3
  2693. V2 13 50 0.3
  2694. D1 8 9 DX
  2695. D2 13 10 DX
  2696. EOS 7 1 POLY(3) (22,98) (73,98) (81,98) 40E-6 1 1 1
  2697. IOS 1 2 0.05p
  2698. *
  2699. * CMRR 90dB, ZERO AT 15kHz, POLE AT 2MHz
  2700. *
  2701. ECM1 21 98 POLY(2) (1,98) (2,98) 0 0.5 0.5
  2702. CCM1 21 22 3.54E-10
  2703. RCM1 21 22 30000
  2704. RCM2 22 98 1
  2705. *
  2706. * PSRR=100dB, ZERO AT 300Hz
  2707. *
  2708. EPSY 98 72 POLY(1) (99,50) 0 1
  2709. CPS3 72 73 5.30E-9
  2710. RPS3 72 73 100E+3
  2711. RPS4 73 98 1
  2712. *
  2713. *
  2714. * VOLTAGE NOISE REFERENCE OF 20nV/rt(Hz)
  2715. *
  2716. VN1 80 98 0
  2717. RN1 80 98 16.45E-3
  2718. HN 81 98 VN1 20
  2719. RN2 81 98 1
  2720. *
  2721. * INTERNAL VOLTAGE REFERENCE
  2722. *
  2723. EREF 98 0 POLY(2) (99,0) (50,0) 0 .5 .5
  2724. GSY 99 50 (99,50) 1.9E-6
  2725. EVP 97 98 POLY(1) (99,50) -0.6 0.5
  2726. EVN 51 98 POLY(1) (50,99) 0.6 0.5
  2727. *
  2728. * GAIN STAGE
  2729. *
  2730. G1 98 30 POLY(2) (14,16) (17,18) 0 1.25E-5 1.25E-5
  2731. R1 30 98 4.69e7
  2732. CF 45 30 50p
  2733. D3 30 97 DX
  2734. D4 51 30 DX
  2735. *
  2736. * OUTPUT STAGE
  2737. *
  2738. M5 45 46 99 99 POX L=1u W=1.61m
  2739. M6 45 47 50 50 NOX L=1u W=2.15m
  2740. EG1 99 46 POLY(1) (98,30) 0.3778 1
  2741. EG2 47 50 POLY(1) (30,98) 0.3771 1
  2742. *
  2743. * MODELS
  2744. *
  2745. .MODEL POX PMOS (LEVEL=2,KP=10u,VTO=-0.328,LAMBDA=0.01,RD=0)
  2746. .MODEL NOX NMOS (LEVEL=2,KP=10u,VTO=+0.328,LAMBDA=0.01,RD=0)
  2747. .MODEL PIX PMOS (LEVEL=2,KP=10u,VTO=-0.328,LAMBDA=0.01,Kf=10E-35,AF=1,TOX=100n)
  2748. .MODEL NIX NMOS (LEVEL=2,KP=10u,VTO=+0.328,LAMBDA=0.01,KF=10E-35,AF=1,TOX=100n)
  2749. .MODEL DX D(IS=1E-14,RS=5)
  2750. .ENDS
  2751.  
  2752.  
  2753.  
  2754. * CREATED USING PARTS RELEASE 4.01 ON 09/08/89 AT 10:54
  2755. * (REV N/A) SUPPLY VOLTAGE: +/-5V
  2756. * CONNECTIONS: NON-INVERTING INPUT
  2757. * | INVERTING INPUT
  2758. * | | POSITIVE POWER SUPPLY
  2759. * | | | NEGATIVE POWER SUPPLY
  2760. * | | | | OUTPUT
  2761. * | | | | |
  2762. .SUBCKT LM358_TI 1 2 3 4 5
  2763. *
  2764. C1 11 12 5.544p
  2765. C2 6 7 20.00p
  2766. DC 5 53 DX
  2767. DE 54 5 DX
  2768. DLP 90 91 DX
  2769. DLN 92 90 DX
  2770. DP 4 3 DX
  2771. EGND 99 0 POLY(2) (3,0) (4,0) 0 .5 .5
  2772. FB 7 99 POLY(5) VB VC VE VLP VLN 0 15.91E6 -20E6 20E6 20E6 -20E6
  2773. GA 6 0 11 12 125.7E-6
  2774. GCM 0 6 10 99 7.067E-9
  2775. IEE 3 10 DC 10.04E-6
  2776. HLIM 90 0 VLIM 1K
  2777. Q1 11 2 13 QX
  2778. Q2 12 1 14 QX
  2779. R2 6 9 100.0E3
  2780. RC1 4 11 7.957E3
  2781. RC2 4 12 7.957E3
  2782. RE1 13 10 2.773E3
  2783. RE2 14 10 2.773E3
  2784. REE 10 99 19.92E6
  2785. RO1 8 5 50
  2786. RO2 7 99 50
  2787. RP 3 4 30.31E3
  2788. VB 9 0 DC 0
  2789. VC 3 53 DC 2.100
  2790. VE 54 4 DC .6
  2791. VLIM 7 8 DC 0
  2792. VLP 91 0 DC 40
  2793. VLN 0 92 DC 40
  2794. .MODEL DX D(IS=800.0E-18)
  2795. .MODEL QX PNP(IS=800.0E-18 BF=250)
  2796. .ENDS
  2797.  
  2798.  
  2799. * OPA820 Unit Gain Low Noise Wide Bandwidth Voltage Feedback Amp
  2800. * REV. A - Created 8/31/04 Rea.Schmid@ti.com
  2801. * REV. B - Created 6/23/06 X-ramus2@ti.com - Diode DX model missing from Netlist
  2802. *
  2803. *
  2804. * NOTES:
  2805. * 1- This macromodel predicts well: DC, small-signal AC,
  2806. * noise, and transient performance under a wide range
  2807. * of conditions
  2808. * 2- This macromodel does not predict: distortion
  2809. * (harmonic, intermod, diff. gain & phase, ...),
  2810. * temperature effects, board parasitics, differences
  2811. * between package styles, and process changes
  2812. * 3- This is duplicated for duals. There is no amplifier crosstalk
  2813. * included in this simulation package.
  2814. *
  2815. * CONNECTIONS:
  2816. * Non-Inverting Input
  2817. * | Inverting Input
  2818. * | | Out
  2819. * | | | Positive Supply
  2820. * | | | | Negative Supply
  2821. * | | | | |
  2822. * | | | | |
  2823. * | | | | |
  2824. * | | | | |
  2825. .SUBCKT OPA820 + - Out V+ V-
  2826. V_V12 17 V- DC 1.10
  2827. I_I24 25 24 DC 100uA
  2828. L_L51 0 52 41.5U
  2829. C_C50 50 52 0.0415F
  2830. D_D25 0 25 DN3 .25
  2831. D_D24 24 0 DN3 .25
  2832. C_C52 0 51 0.0415F
  2833. L_L50 50 51 41.5U
  2834. R_R53 52 51 1MEG
  2835. E_E71 73 74 POLY(2) 51 52 V+ V- -785M 1 0.5
  2836. E_E70 70 71 POLY(2) 52 51 V+ V- -785m 1 0.5
  2837. D_D12 17 16 Da 1
  2838. D_D70 71 Out DX 1
  2839. D_D73 Out 73 DX 1
  2840. I_I12 16 V- DC 1.575mA
  2841. C_C6 0 3 .9p
  2842. C_C7 0 - .9p
  2843. D_D42 42 40 DX 1
  2844. D_D41 40 41 DX 1
  2845. E_E41 41 0 POLY(1) V+ V- -1.7 0.5 0
  2846. E_E42 42 0 POLY(1) V- V+ 1.7 0.5 0
  2847. V_V1 V+ 10 DC 1.4
  2848. R_R70 70 V+ 28
  2849. R_R71 V- 74 28
  2850. Q_Q1 11 3 16 QN 1.0
  2851. G_G50 0 50 POLY(1) 40 0 0 1.0u 0 0
  2852. C_C5 0 40 25f
  2853. R_R10 11 10 400
  2854. R_R11 12 10 400
  2855. R_R1 30 0 160K
  2856. R_R40 40 0 1K
  2857. G_G40 0 40 POLY(1) 30 0 0 1E-03 0 0
  2858. G_G30 0 30 POLY(1) 12 11 1m 4.814m 0 0
  2859. C_C2 30 0 20.0p
  2860. Q_Q2 12 - 16 QN 2
  2861. G_G11 - 0 POLY(2) 24 0 25 0 0 .2M .2M
  2862. D_D20 20 0 DN1 .5
  2863. D_D21 0 21 DN1 .5
  2864. I_I20 21 20 DC 10.5uA
  2865. E_E11 + 3 POLY(2) 20 0 21 0 .2M .3 .3
  2866. C_C8 11 12 40f
  2867. *
  2868. .MODEL Da D IS=1.0000f
  2869. .MODEL DN1 D(IS=2F AF=1 KF=10.5E-17)
  2870. .MODEL DN3 D( IS=.1F AF=1 KF=39E-17)
  2871. .MODEL QN NPN IS=7.6E-18 VAF=78.71 VAR=1.452 BF=157
  2872. +RB=1.02E02 CJE=0.720f CJC=3.208E-16 KF=9.5f AF=1
  2873. .MODEL DX D IS=1f
  2874. .ENDS OPA820
  2875.  
  2876. * CONNECTIONS: NON-INVERTING INPUT
  2877. * | INVERTING INPUT
  2878. * | | POSITIVE POWER SUPPLY
  2879. * | | | NEGATIVE POWER SUPPLY
  2880. * | | | | OUTPUT
  2881. * | | | | |
  2882. .SUBCKT OPA128 1 2 3 4 5
  2883. *
  2884. C1 11 12 2.204p
  2885. C2 6 7 25.00p
  2886. DC 5 53 DX
  2887. DE 54 5 DX
  2888. DLP 90 91 DX
  2889. DLN 92 90 DX
  2890. DP 4 3 DX
  2891. EGND 99 0 POLY(2) (3,0) (4,0) 0 .5 .5
  2892. FB 7 99 POLY(5) VB VC VE VLP VLN 0 106.6E6 -10E6 10E6 10E6 -10E6
  2893. GA 6 0 11 12 314.2E-6
  2894. GCM 0 6 10 99 395.5p
  2895. ISS 3 10 DC 80.00E-6
  2896. HLIM 90 0 VLIM 1K
  2897. J1 11 2 10 JX
  2898. J2 12 1 10 JX
  2899. R2 6 9 100.0E3
  2900. RD1 4 11 3.183E3
  2901. RD2 4 12 3.183E3
  2902. RO1 8 5 25
  2903. RO2 7 99 75
  2904. RP 3 4 33.33E3
  2905. RSS 10 99 2.500E6
  2906. VB 9 0 DC 0
  2907. VC 3 53 DC 2
  2908. VE 54 4 DC 2
  2909. VLIM 7 8 DC 0
  2910. VLP 91 0 DC 29
  2911. VLN 0 92 DC 29
  2912. .MODEL DX D(IS=800.0E-18)
  2913. .MODEL JX PJF(IS=20.00f BETA=616.8E-6 VTO=-1)
  2914. .ENDS
  2915.  
  2916.  
  2917. * "E" IS ENHANCED MODEL
  2918. *
  2919. * REV.B 3/21/92 BCB: added input bias current correction and
  2920. * current and voltage noise
  2921. * | INVERTING INPUT
  2922. * | | POSITIVE POWER SUPPLY
  2923. * | | | NEGATIVE POWER SUPPLY
  2924. * | | | | OUTPUT
  2925. * | | | | |
  2926. .SUBCKT OPA128E 1 2 3 4 5
  2927. *
  2928. C1 11 12 2.204p
  2929. C2 6 7 25.00p
  2930. DC 5 53 DX
  2931. DE 54 5 DX
  2932. DLP 90 91 DX
  2933. DLN 92 90 DX
  2934. DP 4 3 DX
  2935. EGND 99 0 POLY(2) (3,0) (4,0) 0 .5 .5
  2936. FB 7 99 POLY(5) VB VC VE VLP VLN 0 106.6E6 -10E6 10E6 10E6 -10E6
  2937. GA 6 0 11 12 314.2E-6
  2938. GCM 0 6 10 99 395.5p
  2939. ISS 3 10 DC 80.00E-6
  2940. HLIM 90 0 VLIM 1K
  2941. J1 11 2 10 JX
  2942. J2 12 64 10 JX
  2943. G11 2 4 POLY(4) (10,2) (11,2) (4,2) (66,0) 0 1p 1p 1p 120E-9
  2944. G21 1 4 POLY(4) (10,1) (12,1) (4,1) (68,0) 0 1p 1p 1p 120E-9
  2945. R2 6 9 100.0E3
  2946. RD1 4 11 3.183E3
  2947. RD2 4 12 3.183E3
  2948. RO1 8 5 25
  2949. RO2 7 99 75
  2950. * RP 3 4 33.33E3
  2951. RSS 10 99 2.500E6
  2952. VB 9 0 DC 0
  2953. VC 3 53 DC 2
  2954. VE 54 4 DC 2
  2955. VLIM 7 8 DC 0
  2956. VLP 91 0 DC 29
  2957. VLN 0 92 DC 29
  2958. ****************************
  2959. * OPA128 "E" - ENHANCEMENTS
  2960. ****************************
  2961. * OUTPUT SUPPLY MIRROR
  2962. FQ3 0 20 POLY(1) VLIM 0 1
  2963. DQ1 20 21 DX
  2964. DQ2 22 20 DX
  2965. VQ1 21 0 0
  2966. VQ2 22 0 0
  2967. FQ1 3 0 POLY(1) VQ1 0.700E-3 1
  2968. FQ2 0 4 POLY(1) VQ2 0.700E-3 -1
  2969. * QUIESCIENT CURRENT
  2970. RQ 3 4 2.5E5
  2971. * DIFF INPUT CAPACITANCE
  2972. CDIF 1 2 1.0p
  2973. * COMMON MODE INPUT CAPACITANCE
  2974. C1CM 1 99 1.0p
  2975. C2CM 2 99 1.0p
  2976. * INPUT VOLTAGE NOISE
  2977. VN1 61 0 0.6
  2978. VN2 0 62 0.6
  2979. DN1 61 63 DY
  2980. DN2 63 62 DY
  2981. EN 64 1 63 0 1
  2982. * INPUT CURRENT NOISE
  2983. RN1 0 65 60.3865
  2984. RN2 65 66 60.3865
  2985. RN3 66 0 120.773
  2986. RN4 0 67 60.3865
  2987. RN5 67 68 60.3865
  2988. RN6 68 0 120.773
  2989. ******************
  2990. .MODEL DY D(IS=1.9E-16 AF=1 KF=5.643E-16)
  2991. .MODEL DX D(IS=800.0E-18)
  2992. .MODEL JX PJF(IS=20.00f BETA=616.8E-6 VTO=-1)
  2993. .ENDS
  2994.  
  2995. *OPA847 Wideband, Ultra-Low Noise Voltage Feedback Operational Amplifier with Shutdown
  2996. * REV. A - Created 7/14/06 X-ramus2@ti.com
  2997. *
  2998. *
  2999. * NOTES:
  3000. * 1- This macromodel predicts well: DC, small-signal AC, noise,
  3001. * , and transient performance under a wide range
  3002. * of conditions.
  3003. * 2- This macromodel does not predict well: distortion
  3004. * (harmonic, intermod, diff. gain & phase, ...),
  3005. * temperature effects, board parasitics, differences
  3006. * between package styles, and process changes
  3007. * 3- For Spice3F4 users they might need to un-comment the lines for the F
  3008. * function and comment out the Lines for PSpice F functions
  3009. * and subckts. First try the present netlist then comment out lines if
  3010. * errors appear.
  3011. * General form:
  3012. * FXXXXXXX N+ N- <POLY(ND)> VN1 <VN2 ...> P0 <P1 ...> <IC=...>
  3013. * Examples:
  3014. * F1 12 10 VCC 1MA 1.3M
  3015. * 4- For some simulators the subckt for the F statement need to be placed
  3016. * inside the ends statement followed by carriage return
  3017. * 5- Known Problems: - None
  3018. *
  3019. * CONNECTIONS:
  3020. * Pin 1: NC
  3021. * | Inverting Input
  3022. * | | Non-Inverting Input
  3023. * | | | Negative Supply
  3024. * | | | | Pin 5: NC
  3025. * | | | | | Output
  3026. * | | | | | | Positive Supply
  3027. * | | | | | | | Disable
  3028. * | | | | | | | |
  3029. * | | | | | | | |
  3030. * | | | | | | | |
  3031. .SUBCKT OPA847 inm inp V- Out V+ Dis
  3032. .PARAM x1=0.25
  3033. .PARAM x2={x1*2}
  3034. .PARAM x6={x2*3}
  3035. .PARAM x24=3
  3036. .PARAM x30={x2*15}
  3037. .PARAM x60={x30*2}
  3038. .PARAM x128=16
  3039.  
  3040. Q_Q1 11 $N_0001 $N_0002 PNP8 {x24}
  3041. Q_Q47 10 $N_0001 $N_0003 PNP8 {x24}
  3042. R_R1 $N_0003 $N_0004 175
  3043. R_R2 $N_0002 $N_0004 175
  3044. V_V1 $N_0005 Vmid 0.0659V
  3045. X_F1 $N_0006 $N_0007 $N_0004 $N_0008 OPA847_Model_F1
  3046. R_R37 Vmid $N_0009 288
  3047. X_F4 $N_0010 $N_0009 $N_0004 $N_0011 OPA847_Model_F4
  3048. X_F3 $N_0007 $N_0010 $N_0004 $N_0012 OPA847_Model_F3
  3049. C_C2 $N_0013 $N_0004 5p
  3050. C_C3 $N_0013 11 3.95p
  3051. X_F2 $N_0008 $N_0013 $N_0014 $N_0013 OPA847_Model_F2
  3052. E_E1 Vmid $N_0013 $N_0004 $N_0013 0.5
  3053. R_R36 $N_0013 $N_0004 49.5kk
  3054. X_F5 $N_0015 $N_0013 $N_0016 $N_0013 OPA847_Model_F5
  3055. Q_Q14 $N_0018 $N_0017 $N_0019 NPN8 .125
  3056. X_F8 $N_0020 $N_0018 V+ $N_0021 OPA847_Model_F8
  3057. X_F6 $N_0022 $N_0006 V+ $N_0017 OPA847_Model_F6
  3058. R_R21 $N_0004 V+ 6
  3059. Q_Q44 V+ $N_0016 $N_0023 NPN8 {x30}
  3060. R_R31 $N_0016 $N_0024 750
  3061. R_R8 V- $N_0017 200k
  3062. Q_Q15 $N_0017 $N_0019 V- NPN8 {x1}
  3063. R_R6 $N_0013 V- 6
  3064. R_R40 V- $N_0019 2.317k
  3065. Q_Q43 V- $N_0016 $N_0025 PNP8 {x30}
  3066. Q_Q24 V- $N_0023 $N_0026 PNP8 {x60}
  3067. Q_Q20 V- $N_0026 $N_0024 PNP8 {x2}
  3068. Q_Q19 V+ $N_0026 $N_0024 NPN8 {x2}
  3069. R_R15 $N_0026 Out 2
  3070. X_F7 V+ $N_0020 V+ $N_0025 OPA847_Model_F7
  3071. X_F9 $N_0021 V- $N_0023 V- OPA847_Model_F9
  3072. Q_Q46 10 $N_0027 $N_0014 NPN8 {x128}
  3073. C_C7 $N_0013 $N_0016 0.25p
  3074. Q_Q18 V+ $N_0025 $N_0026 NPN8 {x60}
  3075. C_C6 10 $N_0026 3.428p
  3076. R_R38 $N_0012 $N_0001 125
  3077. R_R39 $N_0001 $N_0011 125
  3078. Q_Q48 $N_0016 10 $N_0011 PNP8 {x6}
  3079. Q_Q41 $N_0015 11 $N_0012 PNP8 {x6}
  3080. X_S1 $N_0004 Dis $N_0022 $N_0005 OPA847_Model_S1
  3081. R_R41 inp $N_0027 10
  3082. R_R42 inm $N_0028 10
  3083. Q_Q49 11 $N_0028 $N_0014 NPN8 {x128*1.005}
  3084.  
  3085. .MODEL NPN8 NPN
  3086. + IS=7.604E-18 BF=1.570E+02 NF=1.000E+00 VAF= 7.871E+01
  3087. + IKF= 3.975E-02 ISE= 3.219E-14 NE=2.000E+00 BR=7.614E-01
  3088. + NR=1.000E+00 VAR= 1.452E+00 IKR= 8.172E-02 ISC= 7.618E-21
  3089. + NC=1.847E+00 RB=1.060E+02 IRB= 0.000E+00 RBM= 2.400E+00
  3090. + RE=2.520E+00 RC=1.270E+02 CJE= 1.120E-13 VJE= 7.591E-01
  3091. + MJE= 5.406E-01 TF=1.213E-11 XTF= 2.049E+00 VTF= 1.813E+00
  3092. + ITF= 4.293E-02 PTF= 0.000E+00 CJC= 8.208E-14 VJC= 6.666E-01
  3093. + MJC= 4.509E-01 XCJC=8.450E-02 TR=4.000E-11 CJS= 1.160E-13
  3094. + VJS= 5.286E-01 MJS= 4.389E-01 XTB= 1.022E+00 EG=1.120E+00
  3095. + XTI= 1.780E+00 KF=3.500E-16 AF=1.000E+00 FC=8.273E-01
  3096.  
  3097.  
  3098. .MODEL PNP8 PNP
  3099. + IS=7.999E-18 BF=1.418E+02 NF=1.000E+00 VAF= 4.158E+01
  3100. + IKF= 1.085E-01 ISE= 2.233f NE=1.505E+00 BR=3.252E+01
  3101. + NR=1.050E+00 VAR= 1.093E+00 IKR= 5.000E-05 ISC= 6.621E-16
  3102. + NC=1.150E+00 RB=6.246E+01 IRB= 0.000E+00 RBM= 2.240E+00
  3103. + RE=2.537E+00 RC=1.260E+02 CJE= 9.502E-14 VJE= 7.320E-01
  3104. + MJE= 4.930E-01 TF=1.303E-11 XTF= 3.500E+01 VTF= 3.259E+00
  3105. + ITF= 2.639E-01 PTF= 0.000E+00 CJC= 1.080E-13 VJC= 7.743E-01
  3106. + MJC= 5.000E-01 XCJC=8.504E-02 TR=1.500E-10 CJS= 1.290E-13
  3107. + VJS= 9.058E-01 MJS= 4.931E-01 XTB= 1.732E+00 EG=1.120E+00
  3108. + XTI= 2.000E+00 KF=3.500E-16 AF=1.000E+00 FC=8.500E-01
  3109.  
  3110. .subckt OPA847_Model_F1 1 2 3 4
  3111. F_F1 3 4 VF_F1 1
  3112. VF_F1 1 2 0V
  3113. .ends OPA847_Model_F1
  3114. .subckt OPA847_Model_F4 1 2 3 4
  3115. F_F4 3 4 VF_F4 5.96931517984
  3116. VF_F4 1 2 0V
  3117. .ends OPA847_Model_F4
  3118. .subckt OPA847_Model_F3 1 2 3 4
  3119. F_F3 3 4 VF_F3 5.96931517984
  3120. VF_F3 1 2 0V
  3121. .ends OPA847_Model_F3
  3122. .subckt OPA847_Model_F2 1 2 3 4
  3123. F_F2 3 4 VF_F2 17.54155155502
  3124. VF_F2 1 2 0V
  3125. .ends OPA847_Model_F2
  3126. .subckt OPA847_Model_F5 1 2 3 4
  3127. F_F5 3 4 VF_F5 1
  3128. VF_F5 1 2 0V
  3129. .ends OPA847_Model_F5
  3130. .subckt OPA847_Model_F8 1 2 3 4
  3131. F_F8 3 4 VF_F8 1.14285714286
  3132. VF_F8 1 2 0V
  3133. .ends OPA847_Model_F8
  3134. .subckt OPA847_Model_F6 1 2 3 4
  3135. F_F6 3 4 VF_F6 0.1666667
  3136. VF_F6 1 2 0V
  3137. .ends OPA847_Model_F6
  3138. .subckt OPA847_Model_F7 1 2 3 4
  3139. F_F7 3 4 VF_F7 8
  3140. VF_F7 1 2 0V
  3141. .ends OPA847_Model_F7
  3142. .subckt OPA847_Model_F9 1 2 3 4
  3143. F_F9 3 4 VF_F9 7
  3144. VF_F9 1 2 0V
  3145. .ends OPA847_Model_F9
  3146. .subckt OPA847_Model_S1 1 2 3 4
  3147. S_S1 3 4 1 2 _S1
  3148. RS_S1 1 2 1G
  3149. .MODEL _S1 VSWITCH Roff=1e9 Ron=1m Voff=3V Von=2V
  3150. .ends OPA847_Model_S1
  3151. .ENDS OPA847
  3152.  
  3153. * OPA342 SPICE Macro-model
  3154. *
  3155. * REV. A CREATED 11 JUNE 1999 BY BILL MACLEAN
  3156. * REV. B CREATED 26 JULY 1999 BY NEIL P. ALBAUGH
  3157. *
  3158. * BASED ON OPA340 PSPICE MODEL BY MAREK LIS, BURR-BROWN CORPORATION
  3159. *
  3160. * non-inverting input
  3161. * | inverting input
  3162. * | | positive supply
  3163. * | | | negative supply
  3164. * | | | | output
  3165. * | | | | |
  3166. .SUBCKT OPA342 + - V+ V- OUT
  3167. *
  3168. * INPUT STAGE
  3169. i1 V+ 5 150u
  3170. m7 550 vswitch 5 5 pix l=6u w=25u m=4
  3171. m8 550 550 V- V- nix l=6u w=25u m=4
  3172. m9 553a 550 V- V- nix l=6u w=25u m=4
  3173. m9c 66 nvsat 553a V- nix l=6u w=25u m=4
  3174. Vpvsat V+ vswitch DC 1.3
  3175. Vnvsat nvsat V- DC 1.37
  3176. iin1 + 98 .2p
  3177. iin2 - 98 .2p
  3178. d3 5 V+ dx
  3179. d4 V- 66 dx
  3180. d5 - V+ dx
  3181. d6 + V+ dx
  3182. d7 V- - dx
  3183. d8 V- + dx
  3184. m1 33 - 66 V- nix l=6u w=25u
  3185. m2 4 7 66 V- nix l=6u w=25u
  3186. m3 8 - 5 5 pix l=6u w=25u
  3187. m4 9 7 5 5 pix l=6u w=25u
  3188. eos 7 + poly(1) 25 98 .130m 0
  3189. ios - + 0p
  3190. r1 V+ 33 4.833k
  3191. r2 V+ 4 4.833k
  3192. r3 8 V- 4.833k
  3193. r4 9 V- 4.833k
  3194. *
  3195. * GAIN STAGE
  3196. *
  3197. eref 98 0 poly(2) V+ 0 V- 0 0 0.7 0.7
  3198. g1 98 21 poly(2) 4 33 9 8 0 145u 145u
  3199. rg 21 98 18.078e6
  3200. cc 21 OUT 40p
  3201. d1 21 22 dx
  3202. d2 23 21 dx
  3203. v1 V+ 22 1.37
  3204. v2 23 V- 1.37
  3205. *
  3206. * COMMON MODE GAIN STAGE
  3207. *
  3208. ecm 24 98 poly(2) + 98 - 98 0 0.5 0.5
  3209. r5 24 25 1e6
  3210. r6 25 98 10k
  3211. c1 24 25 0.75p
  3212. * OUTPUT STAGE
  3213. ep V+ 39 poly(1) 98 21 0.88925 1
  3214. en 38 V- poly(1) 21 98 0.88925 1
  3215. ffa V+ V- vh 1.2
  3216. vh OUT 6h DC 1M
  3217. vl 6l OUT DC 1M
  3218. m113 6h 39 V+ V+ pox l=1.5u w=1500u
  3219. m114 6l 38 V- V- nox l=1.5u w=1500u
  3220. c15 OUT 39 50p
  3221. c16 OUT 38 50p
  3222. * MODEL DEFINITIONS
  3223. *
  3224. .model dx d(rs=1 cjo=0.1p)
  3225. .model nix nmos(vto=0.75 kp=205.5u rd=1 rs=1 rg=1 rb=1 cgso=4e-9
  3226. +cgdo=4e-9 cgbo=16.667e-9 cbs=2.34e-13 cbd=2.34e-13)
  3227. .model nox nmos(vto=0.75 kp=195u rd=.5 rs=.5 rg=1 rb=1 cgso=66.667p
  3228. +cgdo=66.667p cgbo=125e-9 cbs=2.34e-13 cbd=2.34e-13)
  3229. .model pix pmos(vto=-0.75 kp=205.5u rd=1 rs=1 rg=1 rb=1 cgso=4e-9
  3230. +cgdo=4e-9 cgbo=16.667e-9 cbs=2.34e-13 cbd=2.34e-13)
  3231. .model pox pmos(vto=-0.75 kp=195u rd=.5 rs=.5 rg=1 rb=1 cgso=66.667p
  3232. +cgdo=66.667p cgbo=125e-9 cbs=2.34e-13 cbd=2.34e-13)
  3233. .ENDS OPA342
  3234.  
  3235. * BEGIN MODEL OPA360
  3236. * BEGIN NOTES
  3237. * MODEL TEMP RANGE -40 TO 85 C
  3238. * NOTE THAT NOT ALL MODEL PARAMETERS
  3239. * TRACK THE DATASHEET VERSUS TEMP
  3240. * FOR ACCURATE INPUT BIAS CURRENT TO
  3241. * +- 5% USE SPICE OPTION GMIN=1E-14
  3242. * FOR ACCURATE INPUT BIAS CURRENT TO
  3243. * +- 20% USE SPICE OPTION GMIN=1E-13
  3244. * END NOTES
  3245. * BEGIN FEATURES
  3246. * CLOSED LOOP GAIN AND PHASE
  3247. * GROUP DELAY
  3248. * SAG PIN
  3249. * INPUT COMMON MODE VOLTAGE RANGE
  3250. * OUTPUT SWING VERSUS OUTPUT CURRENT
  3251. * OUTPUT CURRENT FLOWS THROUGH SUPPLY
  3252. * PSRR OF VOFFSET
  3253. * BIAS CURRENT
  3254. * QUIESCENT CURRENT
  3255. * SHUTDOWN FUNCTION
  3256. * SHUTDOWN / ENABLE DELAYS
  3257. * QUIESCENT CURRENT IN SHUTDOWN
  3258. * END FEATURES
  3259. * PINOUT ORDER IN SAG +V GND OUT EN
  3260. * PINOUT ORDER 1 3 6 2 4 5
  3261. *.SUBCKT OPA360 1 3 6 2 4 5
  3262. .SUBCKT OPA360 1 2 3 6 5 4
  3263. Q20 7 8 9 QLN
  3264. R3 10 11 1
  3265. R4 12 11 1
  3266. R10 8 13 1E3
  3267. R11 14 15 1E3
  3268. R12 16 6 2
  3269. R13 2 17 2
  3270. R16 18 19 300
  3271. R17 20 21 2
  3272. R18 9 22 2
  3273. D5 23 6 DD
  3274. D6 2 23 DD
  3275. D7 24 0 DIN
  3276. D8 25 0 DIN
  3277. I8 0 24 0.1E-3
  3278. I9 0 25 0.1E-3
  3279. E2 9 0 2 0 1
  3280. E3 21 0 6 0 1
  3281. D9 26 0 DVN
  3282. D10 27 0 DVN
  3283. I10 0 26 0.1E-3
  3284. I11 0 27 0.1E-3
  3285. E4 28 29 26 27 0.025
  3286. G2 30 29 24 25 1.2E-6
  3287. R22 2 6 100E6
  3288. E5 31 0 21 0 1
  3289. E6 32 0 9 0 1
  3290. E7 33 0 34 0 1
  3291. R30 31 35 1E4
  3292. R31 32 36 1E5
  3293. R32 33 37 1E5
  3294. R33 0 35 1
  3295. R34 0 36 10
  3296. R35 0 37 10
  3297. E10 38 39 37 0 0.03
  3298. R36 40 34 1E3
  3299. R37 34 41 1E3
  3300. C6 31 35 1p
  3301. C7 32 36 1p
  3302. C8 33 37 2p
  3303. E11 42 38 36 0 0.3
  3304. E12 30 42 35 0 0.67
  3305. E14 43 9 21 9 0.5
  3306. D11 18 21 DD
  3307. D12 9 18 DD
  3308. M1 44 45 17 17 NOUT L=3U W=15000U
  3309. M2 46 47 16 16 POUT L=3U W=15000U
  3310. M3 48 48 20 20 POUT L=3U W=15000U
  3311. M4 49 50 10 10 PIN L=3U W=675U
  3312. M5 51 52 12 12 PIN L=3U W=675U
  3313. M8 53 53 22 22 NOUT L=3U W=15000U
  3314. R43 54 47 100
  3315. R44 55 45 100
  3316. G3 18 43 56 43 0.2E-3
  3317. R45 43 18 9E6
  3318. C12 19 23 3.3p
  3319. R46 9 49 2E3
  3320. R47 9 51 2E3
  3321. C13 49 51 0.1p
  3322. C14 30 0 0.5p
  3323. C15 28 0 0.5p
  3324. C16 23 0 0.5p
  3325. D13 45 7 DD
  3326. D14 57 47 DD
  3327. Q15 57 15 21 QLP
  3328. V18 30 58 -30E-3
  3329. M19 59 60 21 21 PIN L=6U W=500U
  3330. E17 41 0 30 0 1
  3331. E18 40 0 29 0 1
  3332. M23 60 60 21 21 PIN L=6U W=500U
  3333. V21 59 11 0.6
  3334. R59 23 46 1
  3335. R60 44 23 1
  3336. J1 61 30 61 JNC
  3337. J2 61 28 61 JNC
  3338. J3 28 62 28 JNC
  3339. J4 30 62 30 JNC
  3340. C21 30 63 0.1p
  3341. E20 64 43 51 49 1
  3342. R62 64 56 1E4
  3343. C23 56 43 0.1p
  3344. G7 65 43 18 43 -1E-3
  3345. G8 43 66 18 43 1E-3
  3346. G9 43 67 53 9 1E-3
  3347. G10 68 43 21 48 1E-3
  3348. D17 68 65 DD
  3349. D18 66 67 DD
  3350. R66 65 68 100E6
  3351. R67 67 66 100E6
  3352. R68 68 21 1E3
  3353. R69 9 67 1E3
  3354. E23 21 54 21 68 1
  3355. E24 55 9 67 9 1
  3356. R70 66 43 1E6
  3357. R71 67 43 1E6
  3358. R72 43 68 1E6
  3359. R73 43 65 1E6
  3360. G11 6 2 69 0 1.27E-3
  3361. R75 42 30 1E9
  3362. R76 38 42 1E9
  3363. R77 39 38 1E9
  3364. R78 29 28 1E9
  3365. R79 43 56 1E9
  3366. R81 54 21 1E9
  3367. R82 9 55 1E9
  3368. R83 34 0 1E9
  3369. G14 60 9 70 0 425E-6
  3370. G15 48 53 70 0 220E-6
  3371. E48 71 18 70 0 30
  3372. E49 72 43 70 0 -30
  3373. V49 73 72 15
  3374. V50 74 71 -15
  3375. R127 71 0 1E12
  3376. R128 72 0 1E12
  3377. M41 43 74 18 75 PSW L=1.5U W=150U
  3378. M42 18 73 43 76 NSW L=1.5U
  3379. R129 75 0 1E12
  3380. R130 76 0 1E12
  3381. M43 77 5 9 9 NET L=3U W=300U
  3382. M44 78 79 9 9 NEN L=3U W=3000U
  3383. R131 77 21 1E4
  3384. R132 78 80 1E6
  3385. V51 80 9 1
  3386. M45 81 81 21 21 PEN L=6U W=60U
  3387. M46 5 81 21 21 PEN L=6U W=60U
  3388. I20 81 9 2E-6
  3389. C26 5 0 1p
  3390. E50 70 0 82 9 1
  3391. V52 78 82 1.111E-6
  3392. R133 9 82 1E12
  3393. C32 21 77 2p
  3394. C33 80 78 0.25p
  3395. I21 6 2 2.5E-6
  3396. L1 83 4 24E-9
  3397. R150 83 4 400
  3398. V78 21 61 0.7
  3399. V79 62 9 -0.1
  3400. M47 84 79 9 9 NEN L=3U W=3000U
  3401. R152 84 80 1E6
  3402. C34 80 84 0.25p
  3403. V80 84 85 1.111E-6
  3404. R153 9 85 1E12
  3405. E53 69 0 85 9 1
  3406. R154 0 69 1E12
  3407. R155 48 21 1E8
  3408. R156 9 53 1E8
  3409. R157 17 45 1E8
  3410. R158 16 47 1E8
  3411. RG1 0 69 1E9
  3412. R159 63 28 100
  3413. R225 52 28 240
  3414. R226 50 58 240
  3415. I40 28 0 3.3p
  3416. I47 30 0 3.3p
  3417. R304 29 86 325
  3418. M50 87 77 9 9 NEN L=3U W=300U
  3419. M51 79 87 9 9 NEN L=3U W=300U
  3420. R307 87 80 1E4
  3421. R308 79 80 1E4
  3422. C54 80 87 1.5E-10
  3423. C55 80 79 0.75p
  3424. E95 21 14 6 16 5
  3425. E96 13 9 17 2 5
  3426. M52 88 89 2 2 NIQ L=3U W=1000U
  3427. R309 88 6 722
  3428. E97 89 2 70 0 2
  3429. R310 2 89 1E9
  3430. R312 0 70 1E9
  3431. R313 60 21 1E9
  3432. R315 1 90 1.1E3
  3433. R316 90 39 1.4E3
  3434. C56 39 0 14.16p
  3435. C57 90 4 12p
  3436. R317 2 29 650
  3437. R319 23 83 3.42
  3438. C58 1 91 0.15f
  3439. R320 91 29 50
  3440. R321 86 4 845
  3441. R322 3 86 528
  3442. .MODEL DVN D KF=20p IS=1E-16
  3443. .MODEL DD D
  3444. .MODEL DIN D
  3445. .MODEL QLN NPN
  3446. .MODEL QLP PNP
  3447. .MODEL JNC NJF IS=1E-18
  3448. .MODEL POUT PMOS KP=200U VTO=-0.7
  3449. .MODEL NOUT NMOS KP=200U VTO=0.7
  3450. .MODEL PIN PMOS KP=200U VTO=-0.7
  3451. .MODEL NIN NMOS KP=200U VTO=0.7
  3452. .MODEL NIQ NMOS KP=200U VTO=0.7 IS=1E-18
  3453. .MODEL NET NMOS KP=200U VTO=1.2 IS=1E-18
  3454. .MODEL NEN NMOS KP=200U VTO=0.5 IS=1E-18
  3455. .MODEL PEN PMOS KP=200U VTO=-0.7 IS=1E-18
  3456. .MODEL PSW PMOS KP=200U VTO=-7.5 IS=1E-18
  3457. .MODEL NSW NMOS KP=200U VTO=7.5 IS=1E-18
  3458. .ENDS
  3459. * END MODEL OPA360
  3460.  
  3461. * AD8552 SPICE Macro-model
  3462. * Typical Values
  3463. * 7/99, Ver. 1.0
  3464. * TAM / ADSC
  3465. *
  3466. * Copyright 1999 by Analog Devices
  3467. *
  3468. * Refer to "README.DOC" file for License Statement. Use of this
  3469. * model indicates your acceptance of the terms and provisions in
  3470. * the License Statement.
  3471. *
  3472. * Node Assignments
  3473. * noninverting input
  3474. * | inverting input
  3475. * | | positive supply
  3476. * | | | negative supply
  3477. * | | | | output
  3478. * | | | | |
  3479. * | | | | |
  3480. .SUBCKT AD8552 1 2 99 50 45
  3481. *
  3482. * INPUT STAGE
  3483. *
  3484. M1 4 7 8 8 PIX L=1E-6 W=355.3E-6
  3485. M2 6 2 8 8 PIX L=1E-6 W=355.3E-6
  3486. M3 11 7 10 10 NIX L=1E-6 W=355.3E-6
  3487. M4 12 2 10 10 NIX L=1E-6 W=355.3E-6
  3488. RC1 4 14 9E+3
  3489. RC2 6 16 9E+3
  3490. RC3 17 11 9E+3
  3491. RC4 18 12 9E+3
  3492. RC5 14 50 1E+3
  3493. RC6 16 50 1E+3
  3494. RC7 99 17 1E+3
  3495. RC8 99 18 1E+3
  3496. C1 14 16 30p
  3497. C2 17 18 30p
  3498. I1 99 8 100E-6
  3499. I2 10 50 100E-6
  3500. V1 99 9 0.3
  3501. V2 13 50 0.3
  3502. D1 8 9 DX
  3503. D2 13 10 DX
  3504. EOS 7 1 POLY(3) (22,98) (73,98) (81,98) 1E-6 1 1 1
  3505. IOS 1 2 2.5p
  3506. *
  3507. * CMRR 120dB, ZERO AT 20Hz
  3508. *
  3509. ECM1 21 98 POLY(2) (1,98) (2,98) 0 .5 .5
  3510. RCM1 21 22 50E+6
  3511. CCM1 21 22 159p
  3512. RCM2 22 98 50
  3513. *
  3514. * PSRR=120dB, ZERO AT 1Hz
  3515. *
  3516. RPS1 70 0 1E+6
  3517. RPS2 71 0 1E+6
  3518. CPS1 99 70 1E-5
  3519. CPS2 50 71 1E-5
  3520. EPSY 98 72 POLY(2) (70,0) (0,71) 0 1 1
  3521. RPS3 72 73 15.9E+6
  3522. CPS3 72 73 10E-9
  3523. RPS4 73 98 16
  3524. *
  3525. * VOLTAGE NOISE REFERENCE OF 45nV/rt(Hz)
  3526. *
  3527. VN1 80 98 0
  3528. RN1 80 98 16.45E-3
  3529. HN 81 98 VN1 45
  3530. RN2 81 98 1
  3531. *
  3532. * INTERNAL VOLTAGE REFERENCE
  3533. *
  3534. EREF 98 0 POLY(2) (99,0) (50,0) 0 .5 .5
  3535. GSY 99 50 (99,50) 48E-6
  3536. EVP 97 98 (99,50) 0.5
  3537. EVN 51 98 (50,99) 0.5
  3538. *
  3539. * LHP ZERO AT 7MHz, POLE AT 50MHz
  3540. *
  3541. E1 32 98 POLY(2) (4,6) (11,12) 0 .5814 .5814
  3542. R2 32 33 3.7E+3
  3543. R3 33 98 22.74E+3
  3544. C3 32 33 1p
  3545. *
  3546. * GAIN STAGE
  3547. *
  3548. G1 98 30 (33,98) 22.7E-6
  3549. R1 30 98 259.1E+6
  3550. CF 45 30 45.4p
  3551. D3 30 97 DX
  3552. D4 51 30 DX
  3553. *
  3554. * OUTPUT STAGE
  3555. *
  3556. M5 45 46 99 99 POX L=1E-6 W=1.111E-3
  3557. M6 45 47 50 50 NOX L=1E-6 W=1.6E-3
  3558. EG1 99 46 POLY(1) (98,30) 1.1936 1
  3559. EG2 47 50 POLY(1) (30,98) 1.2324 1
  3560. *
  3561. * MODELS
  3562. *
  3563. .MODEL POX PMOS (LEVEL=2,KP=10E-6,VTO=-1,LAMBDA=0.001,RD=8)
  3564. .MODEL NOX NMOS (LEVEL=2,KP=10E-6,VTO=+1,LAMBDA=0.001,RD=5)
  3565. .MODEL PIX PMOS (LEVEL=2,KP=100E-6,VTO=-1,LAMBDA=0.01)
  3566. .MODEL NIX NMOS (LEVEL=2,KP=100E-6,VTO=+1,LAMBDA=0.01)
  3567. .MODEL DX D(IS=1E-14,RS=5)
  3568. .ENDS AD8552
  3569.  
  3570.  
  3571. * Rev.A March-2008
  3572. * MODEL FEATURES INCLUDE OUTPUT SWING, OUTPUT CURRENT THRU THE SUPPLY RAILS,
  3573. * OUTPUT CURRENT LIMIT, OPEN LOOP GAIN AND PHASE WITH RL AND CL EFFECTS, SLEW
  3574. * RATE, COMMON MODE REJECTION WITH FREQ EFFECTS, POWER SUPPLY REJECTION WITH
  3575. * FREQ EFFECTS, INPUT VOLTAGE NOISE WITH 1/F, INPUT CURRENT NOISE, INPUT BIAS
  3576. * CURRENT, INPUT BIAS CURRENT TEMPERATURE EFFECTS, INPUT COMMON MODE RANGE,
  3577. * INPUT OFFSET VOLTAGE WITH TEMPERATURE EFFECTS, AND QUIESCENT CURRENT VS
  3578. * VOLTAGE AND TEMPERATURE.
  3579. *///////////////////////////////////////////////////////////////////////////////
  3580. * MODEL TEMP RANGE IS -40 TO +125 DEG C. NOTE THAT MODEL IS FUNCTIONAL OVER THIS
  3581. * RANGE BUT NOT ALL PARAMETERS TRACK THOSE OF THE REAL PART
  3582. *///////////////////////////////////////////////////////////////////////////////
  3583. * PINOUT ORDER +IN -IN +V -V OUT
  3584. * PINOUT ORDER 3 4 5 2 1
  3585. .SUBCKT LMP7721 3 4 5 2 1
  3586. *
  3587. * USE V44 BELOW TO ADJUST OFFSET
  3588. * PRESENT VALUE OF 248.7 UV GIVES 26 UV NET
  3589. * NOTE THAT VOS CHANGES VIA CMRR,PSRR, AND TCVOS
  3590. * ADJUST UP OR DN FROM 248.7 UV
  3591. V44 26 11 248.7E-6
  3592. *
  3593. * USE R325 BELOW TO ADJUST BIAS CURRENT
  3594. * PRESENT VALUE OF 1E7 GIVES TYPICAL IB
  3595. * USE 1E8 FOR WORST CASE IB
  3596. R325 0 100 1E7
  3597. *
  3598. * NOTE
  3599. * USE ANALYSIS OPTION GMIN OF 1E-13 TO 1f AND
  3600. * RELTOL=0.00001 TO 0.000001 FOR ACCURATE INPUT
  3601. * BIAS CURRENT
  3602. *
  3603. * MODEL FEATURES INCLUDE OUTPUT SWING, OUTPUT CURRENT THRU
  3604. * THE SUPPLY RAILS, OUTPUT CURRENT LIMIT, OPEN LOOP GAIN
  3605. * AND PHASE WITH RL AND CL EFFECTS, SLEW RATE, COMMON MODE
  3606. * REJECTION WITH FREQ EFFECTS, POWER SUPPLY REJECTION WITH
  3607. * FREQ EFFECTS, INPUT VOLTAGE NOISE WITH 1/F, INPUT CURRENT
  3608. * NOISE, INPUT BIAS CURRENT, INPUT BIAS CURRENT TEMPERATURE
  3609. * EFFECTS, INPUT COMMON MODE RANGE, INPUT OFFSET VOLTAGE
  3610. * WITH TEMPERATURE EFFECTS, AND QUIESCENT CURRENT VS
  3611. * VOLTAGE AND TEMPERATURE.
  3612. *
  3613. D17 6 0 DIN
  3614. D18 7 0 DIN
  3615. I14 0 6 0.1E-3
  3616. I15 0 7 0.1E-3
  3617. D19 8 0 DVN
  3618. D20 9 0 DVN
  3619. I16 0 8 0.1E-3
  3620. I17 0 9 0.1E-3
  3621. E15 10 4 8 9 0.7
  3622. G5 11 10 6 7 1.1E-14
  3623. E16 12 0 13 0 1
  3624. E17 14 0 15 0 1
  3625. E18 16 0 17 0 1
  3626. R56 12 18 1E6
  3627. R57 14 19 1E6
  3628. R58 16 20 1E6
  3629. R59 0 18 10
  3630. R60 0 19 10
  3631. R61 0 20 10
  3632. E19 21 22 20 0 1.4
  3633. R62 23 17 1E3
  3634. R63 17 24 1E3
  3635. C15 12 18 1p
  3636. C16 14 19 1p
  3637. C17 16 20 10p
  3638. E20 25 21 19 0 -6
  3639. E21 26 25 18 0 6
  3640. R64 0 27 1E12
  3641. G12 11 10 28 0 1.1E-6
  3642. R136 0 28 10E3
  3643. R137 0 28 10E3
  3644. R138 22 21 1E9
  3645. R139 21 25 1E9
  3646. R140 25 26 1E9
  3647. E54 24 0 11 0 1
  3648. E55 23 0 10 0 1
  3649. C23 11 10 0.05p
  3650. E57 22 3 29 0 8.45E-4
  3651. R146 22 3 1E9
  3652. R147 0 27 1E12
  3653. Q41 30 31 15 QLN
  3654. R148 31 32 1E3
  3655. R149 33 34 1E3
  3656. R150 35 13 5
  3657. R151 15 36 5
  3658. R153 37 38 200
  3659. R154 39 13 5
  3660. R155 15 40 5
  3661. D22 41 5 DD
  3662. D23 2 41 DD
  3663. E58 15 0 2 0 1
  3664. E59 13 0 5 0 1
  3665. R156 2 5 10E6
  3666. E67 42 15 13 15 0.5
  3667. D24 43 13 DD
  3668. D25 15 44 DD
  3669. R157 45 46 100
  3670. R158 47 48 100
  3671. G14 37 42 49 42 0.1E-3
  3672. R159 42 37 3.3E7
  3673. C24 38 50 5.25p
  3674. C25 41 0 0.5p
  3675. D26 48 30 DD
  3676. D27 51 46 DD
  3677. Q42 51 34 13 QLP
  3678. R160 41 52 1
  3679. R161 53 41 1
  3680. E61 54 42 55 56 1
  3681. R162 54 49 1E4
  3682. C26 49 42 0.3p
  3683. G15 57 42 37 42 -1E-3
  3684. G16 42 58 37 42 1E-3
  3685. G17 42 59 60 15 1E-3
  3686. G18 61 42 13 62 1E-3
  3687. D28 61 57 DD
  3688. D29 58 59 DD
  3689. R163 57 61 100E6
  3690. R164 59 58 100E6
  3691. R165 61 13 1E3
  3692. R166 15 59 1E3
  3693. R167 58 42 1E6
  3694. R168 59 42 1E6
  3695. R169 42 61 1E6
  3696. R170 42 57 1E6
  3697. G19 5 2 63 0 0.95E-3
  3698. R171 42 49 1E9
  3699. R172 45 13 1E9
  3700. R173 15 47 1E9
  3701. G20 62 60 27 0 0.1E-3
  3702. L2 41 1 0.4E-9
  3703. R175 41 1 400
  3704. R176 62 13 1E8
  3705. R177 15 60 1E8
  3706. R178 36 48 1E8
  3707. R179 35 46 1E8
  3708. R180 0 27 1E9
  3709. E99 13 33 13 35 1.9
  3710. E100 32 15 36 15 6.5
  3711. E124 50 0 41 0 1
  3712. R219 37 50 3.3E9
  3713. I30 0 64 1E-3
  3714. D46 64 0 DD
  3715. R278 0 64 10E6
  3716. V27 64 29 0.65
  3717. R279 0 29 10E6
  3718. Q52 52 46 35 QOP
  3719. Q53 53 48 36 QON
  3720. Q54 60 60 40 QON
  3721. Q55 62 62 39 QOP
  3722. E144 13 45 13 61 1
  3723. E145 47 15 59 15 1
  3724. I33 0 65 1E-3
  3725. D49 65 0 DD
  3726. R287 0 65 10E6
  3727. V130 65 66 1.2301
  3728. R288 0 66 10E6
  3729. E50 67 0 66 0 -1.75
  3730. R289 0 67 10E6
  3731. R290 68 67 10E6
  3732. M3 68 69 0 0 NEN L=2U W=1000U
  3733. G22 70 71 68 0 3E-6
  3734. V32 72 0 1
  3735. R791 72 69 1E6
  3736. M4 69 27 0 0 NEN L=2U W=100U
  3737. E51 37 44 42 15 0.7
  3738. E52 43 37 13 42 0.7
  3739. G23 5 0 52 41 1
  3740. G24 2 0 41 53 -1
  3741. V35 13 73 1
  3742. M45 74 75 76 76 NEN L=3U W=3000U
  3743. R293 76 77 1E4
  3744. R294 74 13 1E6
  3745. V36 13 76 1
  3746. C110 13 73 1p
  3747. E53 27 0 78 76 1
  3748. V37 74 78 1.111E-6
  3749. R295 76 78 1E12
  3750. R296 73 13 1E6
  3751. C111 77 76 3f
  3752. C112 13 74 3f
  3753. M20 79 80 76 76 NEN L=3U W=300U
  3754. M21 75 79 76 76 NEN L=3U W=300U
  3755. R297 79 13 1E4
  3756. R298 75 13 1E4
  3757. C113 13 79 55p
  3758. C114 13 75 150p
  3759. E154 81 37 27 0 30
  3760. E155 82 42 27 0 -30
  3761. V138 83 82 15
  3762. V139 84 81 -15
  3763. R300 81 0 1E12
  3764. R301 82 0 1E12
  3765. M12 42 84 37 85 PSW L=1.5U W=150U
  3766. M13 37 83 42 86 NSW L=1.5U W=150U
  3767. R302 85 0 1E12
  3768. R303 86 0 1E12
  3769. M14 77 73 13 13 PEN L=6U W=60U
  3770. E156 87 76 77 76 -1
  3771. R304 76 87 10E6
  3772. R305 76 87 10E6
  3773. V40 80 87 1
  3774. R306 76 80 10E6
  3775. M55 88 89 2 2 NEN L=2U W=1000U
  3776. R809 88 5 100E3
  3777. E37 89 2 27 0 3
  3778. M56 63 90 0 0 NEN L=2U W=10M
  3779. R811 63 67 850E3
  3780. E60 91 0 27 0 -1
  3781. R312 0 91 10E6
  3782. R313 0 91 10E6
  3783. V43 90 91 1
  3784. R814 0 90 10E6
  3785. G25 5 2 27 0 -0.33E-3
  3786. G26 5 2 92 0 0.7E-4
  3787. E161 93 0 5 2 1
  3788. M57 92 90 0 0 NEN L=2U W=10M
  3789. R815 92 93 75E3
  3790. R317 4 10 1E9
  3791. R319 94 56 2E3
  3792. R320 94 55 2E3
  3793. C47 56 55 1.2p
  3794. M58 56 95 96 96 PIN L=3U W=650U
  3795. M59 55 97 98 98 PIN L=3U W=650U
  3796. Q58 99 70 71 QPI
  3797. R321 11 95 40
  3798. R322 10 97 40
  3799. R323 96 99 1
  3800. R324 98 99 1
  3801. V145 13 71 0.2
  3802. V46 94 15 0
  3803. D50 100 101 DL
  3804. V47 101 0 3
  3805. G51 10 0 100 0 1.6E-11
  3806. I61 10 0 1f
  3807. G52 11 0 100 0 1.6E-11
  3808. I62 11 0 1f
  3809. J1 102 95 102 JC
  3810. J2 95 103 95 JC
  3811. J3 102 97 102 JC
  3812. J4 97 103 97 JC
  3813. V48 13 102 0.48
  3814. V49 103 15 0.15
  3815. G53 104 3 104 3 2.5E-13
  3816. G54 104 4 104 4 2.5E-13
  3817. V146 104 15 1
  3818. .MODEL DL D IS=0.95E-11 N=1.9 XTI=1.5
  3819. .MODEL JC NJF IS=1E-18
  3820. .MODEL QPI PNP
  3821. .MODEL QON NPN RC=5
  3822. .MODEL QOP PNP RC=5
  3823. .MODEL DD D
  3824. .MODEL DVN D KF=2.5f
  3825. .MODEL DIN D KF=8E-17
  3826. .MODEL QLN NPN
  3827. .MODEL QLP PNP
  3828. .MODEL PIN PMOS KP=200U VTO=-0.7
  3829. .MODEL NIQS NMOS KP=200U VTO=0.7 IS=1E-18
  3830. .MODEL NEN NMOS KP=200U VTO=0.5 IS=1E-18
  3831. .MODEL PEN PMOS KP=200U VTO=-0.7 IS=1E-18
  3832. .MODEL PSW PMOS KP=200U VTO=-7.5 IS=1E-18
  3833. .MODEL NSW NMOS KP=200U VTO=7.5 IS=1E-18
  3834. .ENDS LMP7721
  3835.  
  3836.  
  3837. .SUBCKT MCP6541 1 2 3 4 5
  3838. * | | | | |
  3839. * | | | | Output
  3840. * | | | Negative Supply
  3841. * | | Positive Supply
  3842. * | Inverting Input
  3843. * Non-inverting Input
  3844. *
  3845.  
  3846. * The following comparators are covered by this model:
  3847. * MCP6541, MCP6542, MCP6543, MCP6544
  3848. *
  3849. * Revision History:
  3850. * REV A: 27-Sep-06 HNV created model
  3851. *
  3852. * Recommendations:
  3853. * Use PSPICE (other simulators may require translation)
  3854. * For a quick, effective design, use a combination of: data sheet
  3855. * specs, bench testing, and simulations with this macromodel
  3856. * For high impedance circuits, set GMIN=100F in the .OPTIONS statement
  3857. * Can disable Hysteresis for faster response in large circuits by adding comments
  3858. * in front of statements flagged below
  3859. *
  3860. * Supported:
  3861. * Typical performance for temperature range (-40 to 125) degrees Celsius
  3862. * DC, AC, Transient, and Noise analyses.
  3863. * Most specs, including: offsets, DC PSRR, DC CMRR, input impedance,
  3864. * open loop gain, voltage ranges, supply current, ... , etc.
  3865. * Temperature effects for Ibias, Iquiescent, Iout short circuit
  3866. * current, Vsat on both rails, Slew Rate vs. Temp and P.S.
  3867. *
  3868. * Not Supported:
  3869. * Chip select (MCP6543)
  3870. * Some Variation in specs vs. Power Supply Voltage
  3871. * Monte Carlo (Vos, Ib), Process variation
  3872. * Distortion (detailed non-linear behavior)
  3873. * Behavior outside normal operating region
  3874. *
  3875. * Input Stage
  3876. V10 3 10 -505M
  3877. R10 10 11 690K
  3878. R11 10 12 690K
  3879. G10 10 11 10 11 144U
  3880. G11 10 12 10 12 144U
  3881. C11 11 12 576f
  3882. C12 1 0 4.00P IC=100m
  3883. E12 71 14 POLY(7) 20 0 21 0 22 0 23 0 26 0 27 0 111 0
  3884. + 1.5M 79.6 79.6 79.6 79.6 1 1 0.5M
  3885. * LINE ABOVE FOR HYSTERESIS, LINE BELOW FOR NO HYSTERESIS
  3886. *+ 1.5M 79.6 79.6 79.6 79.6 1 1 0
  3887. G12 1 0 62 0 1m
  3888. G13 1 2 63 0 1m
  3889. M12 11 14 15 15 NMI
  3890. M14 12 2 15 15 NMI
  3891. G14 2 0 62 0 1m
  3892. C14 2 0 4.00P
  3893. I15 15 4 50.0U
  3894. V16 16 4 -305M
  3895. GD16 16 1 TABLE {V(16,1)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  3896. V13 3 13 -305M
  3897. GD13 2 13 TABLE {V(2,13)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  3898. R71 1 0 20.0E12
  3899. R72 2 0 20.0E12
  3900. R73 1 2 20.0E12
  3901. *
  3902. * Noise, PSRR, and CMRR
  3903. I20 21 20 423U
  3904. D20 20 0 DN1
  3905. D21 0 21 DN1
  3906. I22 22 23 1N
  3907. R22 22 0 1k
  3908. R23 0 23 1k
  3909. G26 0 26 POLY(2) 3 0 4 0 0.00 -177U -177U
  3910. R26 26 0 1
  3911. G27 0 27 POLY(2) 1 0 2 0 -2.75M 158U 158U
  3912. R27 27 0 1
  3913. *
  3914. * Open Loop Gain, Slew Rate
  3915. G30 0 30 12 11 1
  3916. R30 30 0 1K
  3917. cR30 30 0 10p ;my
  3918. G31 0 31 3 4 -2.7
  3919. I31 0 31 DC 110
  3920. R31 31 0 1 TC=2.34M,-4.57U
  3921. GD31 30 0 TABLE {V(30,31)} ((-100,-1n)(0,0)(1m,0.1)(2m,2))
  3922. G32 32 0 3 4 12
  3923. I32 32 0 DC 76.5
  3924. R32 32 0 1 TC=1.80M,-3.97U
  3925. GD32 0 30 TABLE {V(30,32)} ((-2m,2)(-1m,0.1)(0,0)(100,-1n))
  3926. G33 0 33 30 0 1m
  3927. R33 33 0 1K
  3928. G34 0 34 33 0 31.6M
  3929. R34 34 0 1K
  3930. C34 34 0 503N
  3931. G37 0 37 34 0 1m
  3932. R37 37 0 1K
  3933. C37 37 0 3P
  3934. G38 0 38 37 0 1m
  3935. R38 39 0 1K
  3936. L38 38 39 32U
  3937. E38 35 0 38 0 1
  3938. G35 33 0 TABLE {V(35,3)} ((-1,-1n)(0,0)(18.0,1n))(20.0,1))
  3939. G36 33 0 TABLE {V(35,4)} ((-22.0,-1)((-20.0,-1n)(0,0)(1,1n))
  3940. *
  3941. * Output Stage
  3942. R80 50 0 100MEG
  3943. *cg50 0 50 60f ;my
  3944. G50 0 50 57 96 2
  3945. R58 57 96 0.50
  3946. R57 57 0 500
  3947. C58 5 0 2.00P
  3948. G57 0 57 POLY(3) 3 0 4 0 35 0 0 1M 1M 2M
  3949. GD55 55 57 TABLE {V(55,57)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  3950. GD56 57 56 TABLE {V(57,56)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  3951. E55 55 0 POLY(2) 3 0 51 0 -1.26M 1 -39.0M
  3952. E56 56 0 POLY(2) 4 0 52 0 1.02M 1 -52.0M
  3953. R51 51 0 1k
  3954. R52 52 0 1k
  3955. GD51 50 51 TABLE {V(50,51)} ((-10,-1n)(0,0)(1m,1m)(2m,1))
  3956. GD52 50 52 TABLE {V(50,52)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  3957. G53 3 0 POLY(1) 51 0 -50.0U 1M
  3958. G54 0 4 POLY(1) 52 0 -50.0U -1M
  3959. *
  3960. * Current Limit
  3961. G99 96 5 99 0 1
  3962. R98 0 98 1 TC=-2.95M,7.2U
  3963. G97 0 98 TABLE { V(96,5) } ((-11.0,-10.0M)(-1.00M,-9.9M)(0,0)(1.00M,9.9M)(11.0,10.0M))
  3964. E97 99 0 VALUE { V(98)*((V(3)-V(4))*760M -1.15)}
  3965. D98 4 5 DESD
  3966. D99 5 3 DESD
  3967. *
  3968. * Temperature / Voltage Sensitive IQuiscent
  3969. R61 0 61 1 TC=2.53M,-13.0U
  3970. G61 3 4 61 0 1
  3971. G60 0 61 TABLE {V(3, 4)}
  3972. + ((0,0)(600M,5.7N)(650M,30.0N)(800M,200N)
  3973. + (1.33,558N)(1.4,570N)(5.5,580N))
  3974. *
  3975. * Temperature Sensitive offset voltage
  3976. I73 0 70 DC 1uA
  3977. R74 0 70 1 TC=3.00
  3978. E75 1 71 70 0 1
  3979. *
  3980. * Temp Sensistive IBias
  3981. I62 0 62 DC 1uA
  3982. R62 0 62 REXP 68.2U
  3983. *
  3984. * Temp Sensistive Offset IBias
  3985. I63 0 63 DC 1uA
  3986. R63 0 63 REXPO 1U
  3987. *
  3988. * Hysteresis
  3989. G110 0 110 POLY(2) 3 0 4 0 3.1 -50M 50M
  3990. R110 110 0 1 TC=2.2M,-14U
  3991. *E111 111 0 VALUE { V(110) * SGN(V(57) }
  3992. * LINE ABOVE CAUSED CONVERGENCE ERROR, USE TWO LINES BELOW INSTEAD
  3993. E111 111 0 VALUE { V(110) * V(112) }
  3994. E112 112 0 TABLE { V(120) } ((0,-1)(1.01,-0.99)(2,0.99)(3,1))
  3995. * Node 120 above is output of FF. Can substitute with node 57 for
  3996. * direct input from output state.
  3997. *
  3998. * Hyst FF Node 120 is output of FF
  3999. X_U113 0 0 113 114 120 115 $G_DPWR $G_DGND DFFRSH
  4000. E114 114 0 TABLE {V(57)}((-1,-1n)(1m,0)(2m,4)(1,4.01))
  4001. R113 0 115 1k
  4002. E113 113 0 TABLE {V(57)}((-1,4.01)(-2m,4)(-1m,1n)(0,0))
  4003. *
  4004. *
  4005. * Models
  4006. .MODEL NMI NMOS(L=2U W=42U KP=20U LEVEL=1 )
  4007. .MODEL DESD D N=1 IS=1f
  4008. .MODEL DN1 D IS=1P KF=146E-18 AF=1
  4009. .MODEL REXP RES TCE= 10.1
  4010. .MODEL REXPO RES TCE= 9
  4011. .subckt dffrsh d clk r s q qbar vcc vgnd
  4012. A1 d vgnd clk s r qbar q vgnd DFLOP Vhigh=5 REF=1.5 td=20n trise=20n tfall=20n
  4013. .ends dffrsh
  4014. .ENDS MCP6541
  4015.  
  4016. .SUBCKT MCP6541_my 1 2 3 4 5
  4017. * | | | | |
  4018. * | | | | Output
  4019. * | | | Negative Supply
  4020. * | | Positive Supply
  4021. * | Inverting Input
  4022. * Non-inverting Input
  4023. *
  4024.  
  4025. * The following comparators are covered by this model:
  4026. * MCP6541, MCP6542, MCP6543, MCP6544
  4027. *
  4028. * Revision History:
  4029. * REV A: 27-Sep-06 HNV created model
  4030. *
  4031. * Recommendations:
  4032. * Use PSPICE (other simulators may require translation)
  4033. * For a quick, effective design, use a combination of: data sheet
  4034. * specs, bench testing, and simulations with this macromodel
  4035. * For high impedance circuits, set GMIN=100F in the .OPTIONS statement
  4036. * Can disable Hysteresis for faster response in large circuits by adding comments
  4037. * in front of statements flagged below
  4038. *
  4039. * Supported:
  4040. * Typical performance for temperature range (-40 to 125) degrees Celsius
  4041. * DC, AC, Transient, and Noise analyses.
  4042. * Most specs, including: offsets, DC PSRR, DC CMRR, input impedance,
  4043. * open loop gain, voltage ranges, supply current, ... , etc.
  4044. * Temperature effects for Ibias, Iquiescent, Iout short circuit
  4045. * current, Vsat on both rails, Slew Rate vs. Temp and P.S.
  4046. *
  4047. * Not Supported:
  4048. * Chip select (MCP6543)
  4049. * Some Variation in specs vs. Power Supply Voltage
  4050. * Monte Carlo (Vos, Ib), Process variation
  4051. * Distortion (detailed non-linear behavior)
  4052. * Behavior outside normal operating region
  4053. *
  4054. * Input Stage
  4055. V10 3 10 -505M
  4056. R10 10 11 690K
  4057. R11 10 12 690K
  4058. G10 10 11 10 11 144U
  4059. G11 10 12 10 12 144U
  4060. C11 11 12 576f
  4061. C12 1 0 4.00P IC=100m
  4062. E12 71 14 POLY(7) 20 0 21 0 22 0 23 0 26 0 27 0 111 0
  4063. + 1.5M 79.6 79.6 79.6 79.6 1 1 0.5M
  4064. * LINE ABOVE FOR HYSTERESIS, LINE BELOW FOR NO HYSTERESIS
  4065. *+ 1.5M 79.6 79.6 79.6 79.6 1 1 0
  4066. G12 1 0 62 0 1m
  4067. G13 1 2 63 0 1m
  4068. M12 11 14 15 15 NMI
  4069. M14 12 2 15 15 NMI
  4070. G14 2 0 62 0 1m
  4071. C14 2 0 4.00P
  4072. I15 15 4 50.0U
  4073. V16 16 4 -305M
  4074. GD16 16 1 TABLE {V(16,1)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  4075. V13 3 13 -305M
  4076. GD13 2 13 TABLE {V(2,13)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  4077. R71 1 0 20.0E12
  4078. R72 2 0 20.0E12
  4079. R73 1 2 20.0E12
  4080. *
  4081. * Noise, PSRR, and CMRR
  4082. *I20 21 20 423U
  4083. iI20 21 20 0
  4084. *D20 20 0 DN1
  4085. VD20 20 0 0
  4086. *D21 0 21 DN1
  4087. VD21 0 21 0
  4088. *I22 22 23 1N
  4089. VI22 22 23 -1u
  4090. R22 22 0 1k
  4091. R23 0 23 1k
  4092. G26 0 26 POLY(2) 3 0 4 0 0.00 -177U -177U
  4093. R26 26 0 1
  4094. G27 0 27 POLY(2) 1 0 2 0 -2.75M 158U 158U
  4095. R27 27 0 1
  4096. *
  4097. * Open Loop Gain, Slew Rate
  4098. G30 0 30 12 11 1
  4099. R30 30 0 1.00K
  4100. cR30 30 0 1.0p ;my
  4101. G31 0 31 3 4 -2.7
  4102. I31 0 31 DC 110
  4103. R31 31 0 1 TC=2.34M,-4.57U
  4104. GD31 30 0 TABLE {V(30,31)} ((-100,-1n)(0,0)(1m,0.1)(2m,2))
  4105. G32 32 0 3 4 12
  4106. I32 32 0 DC 76.5
  4107. R32 32 0 1 TC=1.80M,-3.97U
  4108. cR32 32 0 10n ;my
  4109. GD32 0 30 TABLE {V(30,32)} ((-2m,2)(-1m,0.1)(0,0)(100,-1n))
  4110. G33 0 33 30 0 1m
  4111. R33 33 0 1K
  4112. G34 0 34 33 0 31.6M
  4113. R34 34 0 1K
  4114. C34 34 0 503N
  4115. G37 0 37 34 0 1m
  4116. R37 37 0 1K
  4117. C37 37 0 3P
  4118. G38 0 38 37 0 1m
  4119. R38 39 0 1K
  4120. L38 38 39 32U
  4121. E38 35 0 38 0 1
  4122. G35 33 0 TABLE {V(35,3)} ((-1,-1n)(0,0)(18.0,1n))(20.0,1))
  4123. G36 33 0 TABLE {V(35,4)} ((-22.0,-1)((-20.0,-1n)(0,0)(1,1n))
  4124. *
  4125. * Output Stage
  4126. *R80 50 0 100MEG
  4127. cg50 0 50 900f ;my
  4128. biG50 0 50 i=v(57,96)*2 tripdv=100m tripdt=100n Rpar=100Meg
  4129. *G50 0 50 57 96 2
  4130. R58 57 96 0.50
  4131. cR58 57 96 20n ;my
  4132. R57 57 0 500
  4133. cR57 57 0 2p ;my
  4134. C58 5 0 2.00P
  4135. G57 0 57 POLY(3) 3 0 4 0 35 0 0 1M 1M 2M
  4136. GD55 55 57 TABLE {V(55,57)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4137. GD56 57 56 TABLE {V(57,56)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4138. E55 55 0 POLY(2) 3 0 51 0 -1.26M 1 -39.0M
  4139. E56 56 0 POLY(2) 4 0 52 0 1.02M 1 -52.0M
  4140. R51 51 0 1k
  4141. CR51 51 0 1p ;my
  4142. R52 52 0 1k
  4143. CR52 52 0 1p ;my
  4144. GD51 50 51 TABLE {V(50,51)} ((-10,-1n)(0,0)(1m,1m)(2m,1))
  4145. GD52 50 52 TABLE {V(50,52)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4146. G53 3 0 POLY(1) 51 0 -50.0U 1M
  4147. G54 0 4 POLY(1) 52 0 -50.0U -1M
  4148. *
  4149. * Current Limit
  4150. G99 96 5 99 0 1
  4151. R98 0 98 1 TC=-2.95M,7.2U
  4152. cR98 0 98 10n ;my
  4153. G97 0 98 TABLE { V(96,5) } ((-11.0,-10.0M)(-1.00M,-9.9M)(0,0)(1.00M,9.9M)(11.0,10.0M))
  4154. E97 99 0 VALUE { V(98)*((V(3)-V(4))*760M -1.15)}
  4155. D98 4 5 DESD
  4156. D99 5 3 DESD
  4157. *
  4158. * Temperature / Voltage Sensitive IQuiscent
  4159. R61 0 61 1 TC=2.53M,-13.0U
  4160. cR61 0 61 10n ;my
  4161. G61 3 4 61 0 1
  4162. G60 0 61 TABLE {V(3, 4)}
  4163. + ((0,0)(600M,5.7N)(650M,30.0N)(800M,200N)
  4164. + (1.33,558N)(1.4,570N)(5.5,580N))
  4165. *
  4166. * Temperature Sensitive offset voltage
  4167. VE75 1 71 {1u*(1+3*(TEMP-27))}
  4168. *
  4169. * Temp Sensistive IBias
  4170. Vr62 62 0 {68.2p*(1+10.1*(temp-27))}
  4171. *
  4172. * Temp Sensistive Offset IBias
  4173. Vr63 63 0 {1p*(1+9*(temp-27))}
  4174. *
  4175. * Hysteresis
  4176. G110 0 110 POLY(2) 3 0 4 0 3.1 -50M 50M
  4177. R110 110 0 1 TC=2.2M,-14U
  4178. CR110 110 0 1n ;my
  4179. E111 111 0 VALUE { V(110) * SGN(V(57)) }
  4180. * LINE ABOVE CAUSED CONVERGENCE ERROR, USE TWO LINES BELOW INSTEAD
  4181. ***E111 111 0 VALUE { V(110) * V(112) }
  4182. ***E112 112 0 TABLE { V(120,0) } ((0,-1)(1.01,-0.99)(2,0.99)(3,1))
  4183. * Node 120 above is output of FF. Can substitute with node 57 for
  4184. * direct input from output state.
  4185. *
  4186. * Hyst FF Node 120 is output of FF
  4187. X_U113 0 0 113 114 120 115 $G_DPWR $G_DGND DFFRSH
  4188. vvvp $G_DPWR 0 3
  4189. vvvg $G_DGND 0 0
  4190. E114 114 0 TABLE {V(57,0)}((-1,-1n)(1m,0)(2m,4)(1,4.01))
  4191. R113 0 115 1k
  4192. CR113 0 115 10p ;my
  4193. E113 113 0 TABLE {V(57,0)}((-1,4.01)(-2m,4)(-1m,1n)(0,0))
  4194. *
  4195. *
  4196. * Models
  4197. .MODEL NMI NMOS(L=2U W=42U KP=20U LEVEL=1)
  4198. .MODEL DESD D N=1 IS=10f
  4199. .MODEL DN1 D IS=1P KF=146E-18 AF=1
  4200. .MODEL REXP RES TCE= 10.1
  4201. .MODEL REXPO RES TCE= 9
  4202. .subckt dffrsh d clk r s q qbar vcc vgnd
  4203. A1 d vgnd clk s r qbar q vgnd DFLOP Vhigh=5 REF=1.5 td=20n trise=20n tfall=20n
  4204. .ends dffrsh
  4205. .ENDS MCP6541_my
  4206.  
  4207. .SUBCKT MCP6401 1 2 3 4 5
  4208. * | | | | |
  4209. * | | | | Output
  4210. * | | | Negative Supply
  4211. * | | Positive Supply
  4212. * | Inverting Input
  4213. * Non-inverting Input
  4214. *
  4215. * The following op-amps are covered by this model:
  4216. * MCP6401, MCP6401R, MCP6401U, MCP6402, MCP6404
  4217. *
  4218. * Date of model creation: 10/10/2010
  4219. * Level of Model Creator: 3.0
  4220. *
  4221. * Revision History:
  4222. * REV A: 10-Oct-10
  4223. *
  4224. * Recommendations:
  4225. * Use PSPICE (or SPICE 2G6; other simulators may require translation)
  4226. * For a quick, effective design, use a combination of: data sheet
  4227. * specs, bench testing, and simulations with this macromodel
  4228. * For high impedance circuits, set GMIN=100F in the .OPTIONS statement
  4229. *
  4230. * Supported:
  4231. * Typical performance for temperature range (-40 to 125) degrees Celsius
  4232. * DC, AC, Transient, and Noise analyses.
  4233. * Most specs, including: offsets, DC PSRR, DC CMRR, input impedance,
  4234. * open loop gain, voltage ranges, supply current, ... , etc.
  4235. * Temperature effects for Ibias, Iquiescent, Iout short circuit
  4236. * current, Vsat on both rails, Slew Rate vs. Temp and P.S.
  4237. *
  4238. * Not Supported:
  4239. * Some Variation in specs vs. Power Supply Voltage
  4240. * Vos distribution, Ib distribution for Monte Carlo
  4241. * Distortion (detailed non-linear behavior)
  4242. * Some Temperature analysis
  4243. * Process variation
  4244. * Behavior outside normal operating region
  4245. *
  4246. *
  4247. * Input Stage
  4248. V10 3 10 -400M
  4249. R10 10 11 1.00MEG
  4250. R11 10 12 1.00MEG
  4251. G10 10 11 10 11 100U
  4252. G11 10 12 10 12 100U
  4253. C11 11 12 397f
  4254. C12 1 0 6P
  4255. E12 71 14 POLY(6) 20 0 21 0 22 0 23 0 26 0 27 0 4.5M 11.7 11.7 3.6 3.6 1 1
  4256. G12 1 0 62 0 1m
  4257. G13 1 2 63 0 1u
  4258. M12 11 14 15 15 NMI
  4259. M14 12 2 15 15 NMI
  4260. G14 2 0 62 0 1m
  4261. C14 2 0 6P
  4262. C13 1 2 3P
  4263. I15 15 4 20.0U
  4264. V16 16 4 -200M
  4265. GD16 16 1 TABLE {V(16,1)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  4266. V13 3 13 -200M
  4267. GD13 2 13 TABLE {V(2,13)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  4268. R71 1 0 20.0E12
  4269. R72 2 0 20.0E12
  4270. R73 1 2 20.0E12
  4271. *
  4272. * Noise, PSRR, and CMRR
  4273. I20 21 20 423U
  4274. D20 20 0 DN1
  4275. D21 0 21 DN1
  4276. I22 22 23 1N
  4277. R22 22 0 1k
  4278. R23 0 23 1k
  4279. G26 0 26 POLY(2) 3 0 4 0 0.00 -79.4U -100U
  4280. R26 26 0 1
  4281. G27 0 27 POLY(2) 1 0 2 0 -847U 40U 40U
  4282. R27 27 0 1
  4283. *
  4284. * Open Loop Gain, Slew Rate
  4285. G30 0 30 12 11 1
  4286. R30 30 0 1.00K
  4287. G31 0 31 3 4 3.9
  4288. I31 0 31 DC 51.5
  4289. R31 31 0 1 TC=3.03M,2.40U
  4290. GD31 30 0 TABLE {V(30,31)} ((-100,-1n)(0,0)(1m,0.1)(2m,2))
  4291. CD31 31 30 2p
  4292. G32 32 0 3 4 2.2
  4293. I32 32 0 DC 93
  4294. R32 32 0 1 TC=2.22M,-2.72U
  4295. GD32 0 30 TABLE {V(30,32)} ((-2m,2)(-1m,0.1)(0,0)(100,-1n))
  4296. CD32 32 30 2p
  4297. G33 0 33 30 0 1m
  4298. R33 33 0 1K
  4299. G34 0 34 33 0 0.325
  4300. R34 34 0 1K
  4301. C34 34 0 50.3U
  4302. G37 0 37 34 0 1m
  4303. R37 37 0 1K
  4304. C37 37 0 63.6P
  4305. G38 0 38 37 0 1m
  4306. R38 39 0 1K
  4307. L38 38 39 79.5U
  4308. E38 35 0 38 0 1
  4309. G35 33 0 TABLE {V(35,3)} ((-1,-1n)(0,0)(90,1n))(91,1))
  4310. G36 33 0 TABLE {V(35,4)} ((-91,-1)((-90,-1n)(0,0)(1,1n))
  4311. *
  4312. * Output Stage
  4313. R80 50 0 100MEG
  4314. G50 0 50 57 96 2
  4315. R58 57 96 0.50
  4316. R57 57 0 1.2K
  4317. C58 5 0 2.00P
  4318. G57 0 57 POLY(3) 3 0 4 0 35 0 0 312U 416U 833U
  4319. GD55 55 57 TABLE {V(55,57)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4320. CD55 55 57 2p
  4321. CD56 57 56 2p
  4322. GD56 57 56 TABLE {V(57,56)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4323. E55 55 0 POLY(2) 3 0 51 0 2M 1 -54M
  4324. E56 56 0 POLY(2) 4 0 52 0 1.2M 1 -50M
  4325. R51 51 0 1k
  4326. R52 52 0 1k
  4327. GD51 50 51 TABLE {V(50,51)} ((-10,-1n)(0,0)(1m,1m)(2m,1))
  4328. GD52 50 52 TABLE {V(50,52)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4329. CD51 50 52 2p
  4330. CD52 50 51 2p
  4331. G53 3 0 POLY(1) 51 0 -20.0U 1M
  4332. G54 0 4 POLY(1) 52 0 -20.0U -1M
  4333. *
  4334. * Current Limit
  4335. G99 96 5 99 0 1
  4336. R98 0 98 1 TC=-3.71M,8.17U
  4337. G97 0 98 TABLE { V(96,5) } ((-12.0,-7.00M)(-1.00M,-6.93M)(0,0)(1.00M,6.93M)(12.0,7.00M))
  4338. E97 99 0 VALUE { V(98)*((V(3)-V(4))*428M + 142M)}
  4339. D98 4 5 DESD
  4340. D99 5 3 DESD
  4341. *
  4342. * Temperature / Voltage Sensitive IQuiscent
  4343. R61 0 61 1 TC=2.24M,3.12U
  4344. G61 3 4 61 0 1
  4345. G60 0 61 TABLE {V(3,4)}
  4346. + ((0,0)(800M,440N)(850M,3.00U)(1.3,40.0U)
  4347. + (1.4,42.0U)(5.00,46.0U)(7.00,50.0U))
  4348. *
  4349. * Temperature Sensitive offset voltage
  4350. I73 0 70 DC 1uA
  4351. R74 0 70 1 TC=2.00
  4352. E75 1 71 70 0 1
  4353. *
  4354. * Temp Sensistive IBias
  4355. I62 0 62 DC 1uA
  4356. R62 0 62 REXP 1.1m
  4357. *
  4358. * Temp Sensistive Offset IBias
  4359. I63 0 63 DC 1uA
  4360. R63 0 63 1.1 TC=30.4M,454U
  4361. *
  4362. * Models
  4363. .MODEL NMI NMOS(L=2.00U W=50.0U KP=20.0U LEVEL=1 )
  4364. .MODEL DESD D N=1 IS=1.00f cjo=50f ;my
  4365. .MODEL DN1 D IS=1P KF=146E-18 AF=1 cjo=50f ;my
  4366. .MODEL REXP RES TCE= 6.6
  4367. .ENDS MCP6401
  4368.  
  4369.  
  4370. .SUBCKT MCP6231 1 2 3 4 5
  4371. * | | | | |
  4372. * | | | | Output
  4373. * | | | Negative Supply
  4374. * | | Positive Supply
  4375. * | Inverting Input
  4376. * Non-inverting Input
  4377. *
  4378. *
  4379. * The following op-amps are covered by this model:
  4380. * MCP6231,MCP6232,MCP6234
  4381. *
  4382. * Revision History:
  4383. * REV A: 23-Aug-06, Created model
  4384. * REV B: 27-Jul-07, Updated output impedance for better model stability w/cap load
  4385. *
  4386. * Recommendations:
  4387. * Use PSPICE (other simulators may require translation)
  4388. * For a quick, effective design, use a combination of: data sheet
  4389. * specs, bench testing, and simulations with this macromodel
  4390. * For high impedance circuits, set GMIN=100F in the .OPTIONS statement
  4391. *
  4392. * Supported:
  4393. * Typical performance for temperature range (-40 to 125) degrees Celsius
  4394. * DC, AC, Transient, and Noise analyses.
  4395. * Most specs, including: offsets, DC PSRR, DC CMRR, input impedance,
  4396. * open loop gain, voltage ranges, supply current, ... , etc.
  4397. * Temperature effects for Ibias, Iquiescent, Iout short circuit
  4398. * current, Vsat on both rails, Slew Rate vs. Temp and P.S.
  4399. *
  4400. * Not Supported:
  4401. * Some Variation in specs vs. Power Supply Voltage
  4402. * Monte Carlo (Vos, Ib), Process variation
  4403. * Distortion (detailed non-linear behavior)
  4404. * Behavior outside normal operating region
  4405. *
  4406. * Input Stage
  4407. V10 3 10 -500M
  4408. R10 10 11 6.90K
  4409. R11 10 12 6.90K
  4410. C11 11 12 12.8P
  4411. C12 1 0 6.00P
  4412. E12 71 14 POLY(4) 20 0 21 0 26 0 27 0 5.00M 48.2 48.2 1 1
  4413. G12 1 0 62 0 1m
  4414. M12 11 14 15 15 NMI
  4415. M14 12 2 15 15 NMI
  4416. G14 2 0 62 0 1m
  4417. C14 2 0 6.00P
  4418. I15 15 4 50.0U
  4419. V16 16 4 -300M
  4420. GD16 16 1 TABLE {V(16,1)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  4421. V13 3 13 -300M
  4422. GD13 2 13 TABLE {V(2,13)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  4423. R71 1 0 20.0E12
  4424. R72 2 0 20.0E12
  4425. R73 1 2 20.0E12
  4426. I80 1 2 500f
  4427. *
  4428. * Noise, PSRR, and CMRR
  4429. I20 21 20 423U
  4430. D20 20 0 DN1
  4431. D21 0 21 DN1
  4432. G26 0 26 POLY(2) 3 0 4 0 0.00 -112U -12U
  4433. R26 26 0 1
  4434. G27 0 27 POLY(2) 1 0 2 0 -776U 38U 38U
  4435. R27 27 0 1
  4436. *
  4437. * Open Loop Gain, Slew Rate
  4438. G30 0 30 12 11 1
  4439. R30 30 0 1.00K
  4440. cr30 30 0 1p ;my
  4441. G31 0 31 3 4 1.58
  4442. I31 0 31 DC 67
  4443. R31 31 0 1 TC=3.75M,3.19U
  4444. GD31 30 0 TABLE {V(30,31)} ((-100,-1n)(0,0)(1m,.1)(2m,2))
  4445. G32 32 0 3 4 -1.7
  4446. I32 32 0 DC 108
  4447. R32 32 0 1 TC=3.11M,3.25U
  4448. cr32 32 0 1n ;my
  4449. GD32 0 30 TABLE {V(30,32)} ((-2m,2)(-1m,.1)(0,0)(100,-1n))
  4450. G33 0 33 30 0 1m
  4451. R33 33 0 1K
  4452. G34 0 34 33 0 1.12
  4453. R34 34 0 1K
  4454. C34 34 0 575U
  4455. G37 0 37 34 0 1m
  4456. R37 37 0 1K
  4457. C37 37 0 4.08N
  4458. G38 0 38 37 0 1m
  4459. R38 39 0 1K
  4460. Cr38 39 0 1p ;my
  4461. L38 38 39 4.54M
  4462. E38 35 0 38 0 1
  4463. G35 33 0 TABLE {V(35,3)} ((-1,-1n)(0,0)(65,1n))(65.5,1))
  4464. G36 33 0 TABLE {V(35,4)} ((-65.5,-1)((-65,-1n)(0,0)(1,1n))
  4465. *
  4466. * Output Stage
  4467. *R80 50 0 100MEG
  4468. R80 50 0 1k ;my
  4469. cr80 50 0 100f ;my
  4470. G50 0 50 57 96 2
  4471. R58 57 96 0.50
  4472. R57 57 0 2620
  4473. cr57 57 0 0.4p ;my
  4474. C58 5 0 2.00P
  4475. G57 0 57 POLY(3) 3 0 4 0 35 0 0 168U 34U 440U
  4476. GD55 55 57 TABLE {V(55,57)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4477. GD56 57 56 TABLE {V(57,56)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4478. E55 55 0 POLY(2) 3 0 51 0 -0.65M 1 -61.5M
  4479. E56 56 0 POLY(2) 4 0 52 0 1.5M 1 -49.5M
  4480. R51 51 0 1k
  4481. R52 52 0 1k
  4482. *GD51 50 51 TABLE {V(50,51)} ((-10,-1n)(0,0)(1m,1m)(2m,1))
  4483. *GD52 50 52 TABLE {V(50,52)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4484. Bgd51 51 0 v=uramp(v(50))
  4485. Bgd52 52 0 v=-uramp(-v(50))
  4486. G53 3 0 POLY(1) 51 0 -50.0U 1M
  4487. G54 0 4 POLY(1) 52 0 -50.0U -1M
  4488. *
  4489. * Current Limit
  4490. G99 96 5 99 0 1
  4491. R98 0 98 1 TC=-3.13M,11.1U
  4492. G97 0 98 TABLE { V(96,5) } ((-11.0,-10.0M)(-1.00M,-9.9M)(0,0)(1.00M,9.9M)(11.0,10.0M))
  4493. E97 99 0 VALUE { V(98)*((V(3)-V(4))*400M + 111E-18)}
  4494. D98 4 5 DESD
  4495. D99 5 3 DESD
  4496. *
  4497. * Temperature / Voltage Sensitive IQuiscent
  4498. R61 0 61 1 TC=2.70M,5.24U
  4499. G61 3 4 61 0 1
  4500. G60 0 61 TABLE {V(3, 4)}
  4501. + ((0,0)(750M,190N)(800M,1.00U)(900M,4.00U)
  4502. + (1.1,17.0U)(1.2,18.7U)(5.5,20.0U))
  4503. *
  4504. * Temperature Sensistive offset voltage
  4505. I73 0 70 DC 1uA
  4506. R74 0 70 1 TC=3
  4507. E75 1 71 70 0 1
  4508. *
  4509. * Temp Sensistive IBias
  4510. I62 0 62 DC 1uA
  4511. R62 0 62 REXP 59.91066U
  4512. *
  4513. * Models
  4514. .MODEL NMI NMOS(L=2.00U W=42.0U KP=20.0U LEVEL=1 )
  4515. .MODEL DESD D N=1 IS=1.00f
  4516. .MODEL DN1 D IS=1P KF=22E-18 AF=1
  4517. .MODEL REXP RES TCE= 10.06834
  4518. .ENDS MCP6231
  4519.  
  4520. .SUBCKT MCP6291 1 2 3 4 5
  4521. * | | | | |
  4522. * | | | | Output
  4523. * | | | Negative Supply
  4524. * | | Positive Supply
  4525. * | Inverting Input
  4526. * Non-inverting Input
  4527. *
  4528. *
  4529. * The following op-amps are covered by this model:
  4530. * MCP6291, MCP6291R, MCP6292, MCP6293, MCP6294, MCP6295
  4531. *
  4532. * Revision History:
  4533. * REV A: 27-Aug-06, HNV (created model)
  4534. * (MC_RQ, 27-Aug-06, Level 1.17)
  4535. * REV B: 09-Jul-12, Added MCP6291R
  4536. *
  4537. * Recommendations:
  4538. * Use PSPICE (other simulators may require translation)
  4539. * For a quick, effective design, use a combination of: data sheet
  4540. * specs, bench testing, and simulations with this macromodel
  4541. * For high impedance circuits, set GMIN=100F in the .OPTIONS statement
  4542. *
  4543. * Supported:
  4544. * Typical performance for temperature range (-40 to 125) degrees Celsius
  4545. * DC, AC, Transient, and Noise analyses.
  4546. * Most specs, including: offsets, DC PSRR, DC CMRR, input impedance,
  4547. * open loop gain, voltage ranges, supply current, ... , etc.
  4548. * Temperature effects for Ibias, Iquiescent, Iout short circuit
  4549. * current, Vsat on both rails, Slew Rate vs. Temp and P.S.
  4550. *
  4551. * Not Supported:
  4552. * Chip select (MCP6293, MCP6295)
  4553. * Some Variation in specs vs. Power Supply Voltage
  4554. * Monte Carlo (Vos, Ib), Process variation
  4555. * Distortion (detailed non-linear behavior)
  4556. * Behavior outside normal operating region
  4557. *
  4558. * Input Stage
  4559. V10 3 10 -500M
  4560. R10 10 11 345K
  4561. R11 10 12 345K
  4562. G10 10 11 10 11 288U
  4563. G11 10 12 10 12 288U
  4564. C11 11 12 0.3p
  4565. C12 1 0 6.00P
  4566. E12 71 14 POLY(4) 20 0 21 0 26 0 27 0 3.00M 1 1 1 1
  4567. G12 1 0 62 0 1m
  4568. M12 11 14 15 15 NMI
  4569. G13 1 2 62 0 .14m
  4570. M14 12 2 15 15 NMI
  4571. G14 2 0 62 0 1m
  4572. C14 2 0 6.00P
  4573. I15 15 4 200u
  4574. V16 16 4 -300M
  4575. GD16 16 1 TABLE {V(16,1)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  4576. V13 3 13 -300M
  4577. GD13 2 13 TABLE {V(2,13)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  4578. R71 1 0 20.0E12
  4579. R72 2 0 20.0E12
  4580. R73 1 2 20.0E12
  4581. *
  4582. * Noise, PSRR, and CMRR
  4583. I20 21 20 423U
  4584. D20 20 0 DN1
  4585. D21 0 21 DN1
  4586. G26 0 26 POLY(2) 3 0 4 0 0.00 15U 9U
  4587. R26 26 0 1
  4588. G27 0 27 POLY(2) 1 0 2 0 -138U 7.2U 7.2U
  4589. R27 27 0 1
  4590. *
  4591. * Open Loop Gain, Slew Rate
  4592. G30 0 30 12 11 1
  4593. R30 30 0 1K
  4594. cR30 30 0 1p ;my
  4595. G31 0 31 3 4 5.64
  4596. I31 0 31 DC 85
  4597. R31 31 0 1 TC=3.29M,-3.86U
  4598. cr31 31 0 1n ;my
  4599. GD31 30 0 TABLE {V(30,31)} ((-100,-1n)(0,0)(1m,0.1)(2m,2))
  4600. G32 32 0 3 4 10
  4601. I32 32 0 DC 92
  4602. R32 32 0 1 TC=2.52M,-1.81U
  4603. cr32 32 0 1n ;my
  4604. GD32 0 30 TABLE {V(30,32)} ((-2m,2)(-1m,0.1)(0,0)(100,-1n))
  4605. G33 0 33 30 0 1m
  4606. R33 33 0 1K
  4607. cr33 33 0 1p ;my
  4608. G34 0 34 33 0 316M
  4609. R34 34 0 1K
  4610. C34 34 0 5.03U
  4611. G37 0 37 34 0 1m
  4612. R37 37 0 1K
  4613. C37 37 0 4P
  4614. G38 0 38 37 0 1m
  4615. R38 39 0 1K
  4616. cr38 39 0 1p ;my
  4617. L38 38 39 35U
  4618. R388 38 39 50k
  4619. E38 35 0 38 0 1
  4620. G35 33 0 TABLE {V(35,3)} ((-1,-1n)(0,0)(90.0,1n))(95.0,1))
  4621. G36 33 0 TABLE {V(35,4)} ((-95.0,-1)((-90.0,-1n)(0,0)(1,1n))
  4622. *
  4623. * Output Stage
  4624. *R80 50 0 100MEG
  4625. RRR 50 0 1k
  4626. cR80 50 0 100f ;my
  4627. G50 0 50 57 96 2
  4628. R58 57 96 0.50
  4629. cR58 57 96 1n ;my
  4630. R57 57 0 500
  4631. cR57 57 0 1p ;my
  4632. C58 5 0 2.00P
  4633. G57 0 57 POLY(3) 3 0 4 0 35 0 0 30M 22M 2.00M
  4634. *GD55 55 57 TABLE {V(55,57)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4635. *GD56 57 56 TABLE {V(57,56)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4636. xDi1 57 55 Ddd ;my
  4637. xDi3 56 57 Ddd ;my
  4638. *
  4639. E55 55 0 POLY(2) 3 0 51 0 -1.55M 1 -17.8M
  4640. E56 56 0 POLY(2) 4 0 52 0 3.0M 1 -19.4M
  4641. R51 51 0 1k
  4642. *cr51 51 0 1p ;my
  4643. R52 52 0 1k
  4644. *cr52 52 0 1p ;my
  4645. ccc 51 52 0.5p ;my
  4646. *GD51 50 51 TABLE {V(50,51)} ((-10,-1n)(0,0)(1m,1m)(2m,1))
  4647. *GD52 50 52 TABLE {V(50,52)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4648.  
  4649. *xDi5 50 51 Ddd ;my
  4650. Bd1 51 0 v=uramp(v(50))
  4651. Bd2 52 0 v=-uramp(-v(50))
  4652. *xDi7 52 50 Ddd ;my
  4653.  
  4654.  
  4655. G53 3 0 POLY(1) 51 0 -50.0U 1M
  4656. G54 0 4 POLY(1) 52 0 -50.0U -1M
  4657. *
  4658. * Current Limit
  4659. G99 96 5 99 0 1
  4660. R98 0 98 1 TC=1.80M,3.49U
  4661. cr98 98 0 1n ;my
  4662. G97 0 98 TABLE { V(96,5) } ((-11.0,-19.0M)(-1.00M,-18.8M)(0,0)(1.00M,18.8M)(11.0,19.0M))
  4663. E97 99 0 VALUE { V(98)*((V(3)-V(4))*35.0M + 912M)}
  4664. D98 4 5 DESD
  4665. D99 5 3 DESD
  4666. *
  4667. * Temperature / Voltage Sensitive IQuiscent
  4668. R61 0 61 1 TC=2.62M,-1.92U
  4669. G61 3 4 61 0 1
  4670. G60 0 61 TABLE {V(3, 4)}
  4671. + ((0,0)(900M,9.2U)(1.4,300U)(2,860U)
  4672. + (2.5,990U)(2.7,990U)(3.1,880U)(5.5,990U))
  4673. *
  4674. * Temperature Sensistive offset voltage
  4675. I73 0 70 DC 1uA
  4676. R74 0 70 1 TC=1.7
  4677. cr74 70 0 1n ;my
  4678. E75 1 71 70 0 1
  4679. *
  4680. * Temp Sensistive IBias
  4681. I62 0 62 DC 1uA
  4682. R62 0 62 REXP 237.67473U
  4683. *
  4684. * Models
  4685. .MODEL NMI NMOS(L=2U W=42U KP=20U LEVEL=1 )
  4686. .MODEL DESD D N=1 IS=1f cjo=5f ;my
  4687. .MODEL DN1 D IS=1P KF=27F AF=1 cjo=5f ;my
  4688. .MODEL REXP RES TCE= 9.26823
  4689. *
  4690. .subckt ddd p m
  4691. Di1 p m Dd1
  4692. Di2 p m Dd2
  4693. *c p m .1f
  4694. .model dd1 d vfwd=1m ron=1m ilimit=1
  4695. .model dd2 d vfwd=0 ron=1 Roff=10Gig ilimit=1m
  4696. .ends ddd
  4697. .ENDS MCP6291
  4698.  
  4699. .SUBCKT MCP6051 1 2 3 4 5 ;my
  4700. * | | | | |
  4701. * | | | | Output
  4702. * | | | Negative Supply
  4703. * | | Positive Supply
  4704. * | Inverting Input
  4705. * Non-inverting Input
  4706. *
  4707. *
  4708. * The following op-amps are covered by this model:
  4709. * MCP6051, MCP6052, MCP6054
  4710. *
  4711. * Revision History:
  4712. * REV A: 19-Aug-09, Created model
  4713. *
  4714. * Recommendations:
  4715. * Use PSPICE (or SPICE 2G6; other simulators may require translation)
  4716. * For a quick, effective design, use a combination of: data sheet
  4717. * specs, bench testing, and simulations with this macromodel
  4718. * For high impedance circuits, set GMIN=100F in the .OPTIONS statement
  4719. *
  4720. * Supported:
  4721. * Typical performance for temperature range (-40 to 125) degrees Celsius
  4722. * DC, AC, Transient, and Noise analyses.
  4723. * Most specs, including: offsets, DC PSRR, DC CMRR, input impedance,
  4724. * open loop gain, voltage ranges, supply current, ... , etc.
  4725. * Temperature effects for Ibias, Iquiescent, Iout short circuit
  4726. * current, Vsat on both rails, Slew Rate vs. Temp and P.S.
  4727. *
  4728. * Not Supported:
  4729. * Some Variation in specs vs. Power Supply Voltage
  4730. * Vos distribution, Ib distribution for Monte Carlo
  4731. * Distortion (detailed non-linear behavior)
  4732. * Some Temperature analysis
  4733. * Process variation
  4734. * Behavior outside normal operating region
  4735. *
  4736. * Input Stage
  4737. V10 3 10 -500M
  4738. R10 10 11 2.18MEG
  4739. R11 10 12 2.18MEG
  4740. G10 10 11 10 11 40U
  4741. G11 10 12 10 12 40U
  4742. C11 11 12 364E-15
  4743. C12 1 0 6P
  4744. E12 71 14 POLY(6) 20 0 21 0 22 0 23 0 26 0 27 0 100U 13 13 4.7 4.7 1 1
  4745. G12 1 0 62 0 1m
  4746. G13 1 2 63 0 1u
  4747. M12 11 14 15 15 NMI
  4748. M14 12 2 15 15 NMI
  4749. G14 2 0 62 0 1m
  4750. C14 2 0 6P
  4751. C13 1 2 3P
  4752. I15 15 4 5.00U
  4753. V16 16 4 -300M
  4754. GD16 16 1 TABLE {V(16,1)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  4755. V13 3 13 -300M
  4756. GD13 2 13 TABLE {V(2,13)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  4757. R71 1 0 13.3E12
  4758. R72 2 0 13.3E12
  4759. R73 1 2 13.3E12
  4760. *
  4761. * Noise, PSRR, and CMRR
  4762. I20 21 20 423U
  4763. D20 20 0 DN1
  4764. D21 0 21 DN1
  4765. I22 22 23 1N
  4766. R22 22 0 1k
  4767. R23 0 23 1k
  4768. G26 0 26 POLY(2) 3 0 4 0 0.00 -63.0U -8.91U
  4769. R26 26 0 1
  4770. G27 0 27 POLY(2) 1 0 2 0 -2120N 100N 100N
  4771. R27 27 0 0.1m
  4772. *
  4773. * Open Loop Gain, Slew Rate
  4774. G30 0 30 12 11 1
  4775. R30 30 0 1.00K
  4776. cr30 30 0 1p ;my
  4777. G31 0 31 3 4 6.5
  4778. I31 0 31 DC 43
  4779. R31 31 0 1 TC=3.0M,-1U
  4780. GD31 30 0 TABLE {V(30,31)} ((-100,-1n)(0,0)(1m,0.1)(2m,2))
  4781. G32 32 0 3 4 4
  4782. I32 32 0 DC 80
  4783. R32 32 0 1 TC=2.4M,-6U
  4784. GD32 0 30 TABLE {V(30,32)} ((-2m,2)(-1m,0.1)(0,0)(100,-1n))
  4785. G33 0 33 30 0 1m
  4786. R33 33 0 1K
  4787. G34 0 34 33 0 562M
  4788. R34 34 0 1K
  4789. C34 34 0 235U
  4790. G37 0 37 34 0 1m
  4791. R37 37 0 1K
  4792. C37 37 0 88.4P
  4793. G38 0 38 37 0 1m
  4794. R38 38 0 1K
  4795. E38 35 0 38 0 1
  4796. G35 33 0 TABLE {V(35,3)} ((-1,-1n)(0,0)(270,1n))(297,1))
  4797. G36 33 0 TABLE {V(35,4)} ((-297,-1)((-270,-1n)(0,0)(1,1n))
  4798. *
  4799. * Output Stage
  4800. *R80 50 0 100MEG
  4801. R80 50 0 1k ;my
  4802. Cr80 50 0 100f ;my
  4803. G50 0 50 57 96 2
  4804. R58 57 96 0.50
  4805. cr58 57 96 1n ;my
  4806. R57 57 0 1.8K
  4807. cr57 57 0 0.5p ;my
  4808. C58 5 0 2.00P
  4809. G57 0 57 POLY(4) 3 0 4 0 35 0 27 0 0 0.3M 0.25M 555U .5
  4810. GD55 55 57 TABLE {V(55,57)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4811. GD56 57 56 TABLE {V(57,56)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4812. E55 55 0 POLY(3) 3 0 51 0 3 4 -7M 1 -70.7M -2.2M 0 0 0.56m 0 6.4m
  4813. E56 56 0 POLY(3) 4 0 52 0 3 4 6M 1 -60.0M 2M 0 0 0.513m 0 5m
  4814. ** 0 3 4 lowend-same 1 mainR divergLow 0 0 hiDiff
  4815. R51 51 0 1k
  4816. R52 52 0 1k
  4817. *GD51 50 51 TABLE {V(50,51)} ((-10,-1n)(0,0)(1m,1m)(2m,1))
  4818. *GD52 50 52 TABLE {V(50,52)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  4819. Bgd51 51 0 v=uramp(v(50)) ;my
  4820. Bgd52 52 0 v=-uramp(-v(50)) ;my
  4821. G53 3 0 POLY(1) 51 0 -5.00U 1M
  4822. G54 0 4 POLY(1) 52 0 -5.00U -1M
  4823. *
  4824. * Current Limit
  4825. G99 96 5 99 0 1
  4826. R98 0 98 1 TC=-5.4M,20.3U
  4827. G97 0 98 TABLE { V(96,5) } ((-12.0,-7.5m)(-1.00M,-7.42m)(0,0)(1.00M,7.42m)(12.0,7.5m))
  4828. E97 99 0 VALUE { V(98)*((V(3)-V(4))*650M + -300M)}
  4829. D98 4 5 DESD
  4830. D99 5 3 DESD
  4831. *
  4832. * Temperature / Voltage Sensitive IQuiscent
  4833. R61 0 61 1 TC=3.05M,-10.0U
  4834. G61 3 4 61 0 1
  4835. G60 0 61 TABLE {V(3, 4)}
  4836. + ((0,0)(600M,320N)(700M,1.2U)(1.3,26.0U)
  4837. + (1.4,27.0U)(5.2,32.0U)(7.00,34.0U))
  4838. *
  4839. * Temperature Sensitive offset voltage
  4840. I73 0 70 DC 1uA
  4841. R74 0 70 1 TC=1.75,8M
  4842. E75 1 71 70 0 1
  4843. *
  4844. * Temp Sensistive IBias
  4845. I62 0 62 DC 1uA
  4846. R62 0 62 REXP 0.9M
  4847. *
  4848. * Temp Sensistive Offset IBias
  4849. I63 0 63 DC 1uA
  4850. R63 0 63 950M TC=6M,0.52M
  4851. *
  4852. * Models
  4853. .MODEL NMI NMOS(L=2.00U W=42.0U KP=20.0U LEVEL=1 )
  4854. .MODEL DESD D N=1 IS=1f cjo=5f ;my
  4855. .MODEL DN1 D IS=1P KF=146E-18 AF=1 cjo=5f ;my
  4856. .MODEL REXP RES TCE= 7.2
  4857. .ENDS MCP6051
  4858.  
  4859.  
  4860. * Date: 2009-03-10
  4861. * Simulator: PSpice 16.0.0.p001
  4862. * OPA659 SUBCIRCUIT
  4863. * HIGH SPEED MONOLITHIC OPERATIONAL AMPLIFIER
  4864. * THIS MODEL SIMULATES TYPICAL VALUES FOR THE FOLLOWING:
  4865. * SETTLING TIME, OUTPUT VOLTAGE LIMIT, INPUT VOLTAGE NOISE, INPUT BIAS CURRENT,
  4866. * TYPICAL VALUES FOR INPUT OFFSET VOLTAGE AND OFFSET BIAS CURRENT,
  4867. * CURRENT DRAW FROM POWER SUPPLY, OUTPUT IMPEDANCE AND LOADING EFFECTS
  4868. * BANDWIDTH IS RIGHT IN GAINS OF +1V/V AND +2V/V
  4869. * SLEW RATE IS SLOW FOR THE RISING EDGE AND FASTER FOR THE FALLING EDGE
  4870. * THIS MODEL WILL NOT PROVIDE ACCURATE SIMULATION OF:
  4871. * CMRR AND PSRR, INPUT CURRENT NOISE, DISTORTION, INPUT OFFSET, OPEN LOOP GAIN
  4872. .SUBCKT OPA659 IN+ IN- Vcc+ Vcc- OUT
  4873. *
  4874. J1 86 N4 0129 NFET 16
  4875. J2 83 82 79 NFET 16
  4876. RDEG1 0129 0187 10
  4877. RDEG2 79 0187 10
  4878. Q4 HIZ VREF 83 PNP 2
  4879. Q3 20 VREF 86 PNP 2
  4880. Q6 HIZ 20 22 NPN 1
  4881. Q5 20 22 74 NPN 4
  4882. Q7 22 22 76 NPN 4
  4883. RM1 74 Vcc- 50
  4884. RM2 76 Vcc- 50
  4885. Cc HIZ Vcc- 2p
  4886. IB1 IN+ N4 DC 10p
  4887. IB2 82 0 DC 11p
  4888. Voff IN- 82 DC 200e-6
  4889.  
  4890. * Input voltage noise source
  4891. Rinoise N1 0 536
  4892. VCCVS1_in N1 0 0
  4893. HCCVS1 IN+ N4 VCCVS1_in 536
  4894.  
  4895. * HIGH FREQUENCY SHAPING *
  4896. EFRQS 077 0 HIZ 0 1
  4897. RFREQS 8 71 25
  4898. LFREQS 077 8 1.5e-9
  4899. CFREQS 71 0 3.5p
  4900. C0 IN- 0 2p
  4901.  
  4902. * OUTPUT *
  4903. Q2 71 71 46 PNP 3
  4904. Q8 71 71 18 NPN 3
  4905. Q9 Vcc+ 46 15 NPN 12
  4906. Q10 Vcc- 18 43 PNP 12
  4907. RBAL1 15 69 1
  4908. RBAL2 43 69 1
  4909.  
  4910. * COMPLEX OUTPUT IMPEDANCE *
  4911. RO2 69 0139 5
  4912. RO1 69 0143 5
  4913. CO1 0143 OUT 8p
  4914. LO1 0139 OUT 1e-9
  4915.  
  4916.  
  4917. * Biasing
  4918. *V1 Vcc+ VREF DC 3.29
  4919. V1 Vcc+ VREF DC 2
  4920. I13 Vcc+ 0121 DC 1e-3
  4921. VCONT 0121 Vcc- DC 0
  4922. FDIFFP 0187 0173 VCONT 8
  4923. R16 0173 Vcc- 312
  4924. FCASC2 090 83 VCONT 8
  4925. FCASC1 092 86 VCONT 8
  4926. R18 Vcc+ 090 150
  4927. R17 Vcc+ 092 150
  4928. FEF2 18 Vcc- VCONT 3.35
  4929. FEF1 Vcc+ 46 VCONT 3.35*
  4930. ECMRR 070 0 POLY(1) IN+ IN- 0 500e-3 500e-3
  4931. GCMRR 065 0 070 Vcc- -10e-9
  4932. RCMRR1 065 0 1e3
  4933. RCMRR2 065 0125 3e3
  4934. CCMRR 070 0125 100f
  4935. *
  4936. GEPSRR 058 0 Vcc+ Vcc- 100e-9
  4937. RPSRR3 058 0141 3e3
  4938. RPSRR2 058 0180 3e3
  4939. RPSRR1 058 0 1e3
  4940. CPSRR2 0141 Vcc- 1e-9
  4941. CPSRR1 0180 Vcc+ 100p
  4942.  
  4943. * MODELS *
  4944. .MODEL NFET NJF(VTO=-2 BETA=100E-6 IS=100E-16 RS=25 RD=25 AF=1 KF=4.0000E-17)
  4945.  
  4946. .MODEL NPN NPN AF=1 BF=100 BR=1 CJC=0 CJE=0 CJS=0 EG=1.11 FC=0.5 GAMMA=1E-11
  4947. +IKF=1E9 IKR=1E9 IRB=1E9 IS=1E-16 ISC=0 ISE=0 ISS=0 ITF=0 MJC=0.33
  4948. +MJE=0.33 NC= 2 NE=1.5 NF=1 NK=0.5 NR=1 PTF=0 QCO=0
  4949. +RB=240 RBM=190 RC=200 RCO=0 RE=0 KF=0.5e-14
  4950. +TF=0 TR=0 VAF=1E9 VAR=1E9 VJC=0.75 VJE=0.75 VO=10 VTF=1E9
  4951. +XCJC=1 XCJC2=1 XTB=0 XTF=0 XTI=3
  4952.  
  4953. .MODEL PNP PNP AF=1 BF=100 BR=1 CJC=0 CJE=0 CJS=0 EG=1.11 FC=0.5 GAMMA=1E-11
  4954. +IKF=1E9 IKR=1E9 IRB=1E9 IS=1E-16 ISC=0 ISE=0 ISS=0 ITF=0 MJC=0.33
  4955. +MJE=0.33 NC= 2 NE=1.5 NF=1 NK=0.5 NR=1 PTF=0 QCO=0
  4956. +RB=240 RBM=190 RC=200 RCO=0 RE=0 KF=0.5e-14
  4957. +TF=0 TR=0 VAF=1E9 VAR=1E9 VJC=0.75 VJE=0.75 VO=10 VTF=1E9
  4958. +XCJC=1 XCJC2=1 XTB=0 XTF=0 XTI=3
  4959.  
  4960. .ENDS OPA659
  4961.  
  4962. * opa627 operational amplifier "macromodel" subcircuit
  4963. * rev.b 5/22/91 tk
  4964. * connections: non-inverting input
  4965. * | inverting input
  4966. * | | positive power supply
  4967. * | | | negative power supply
  4968. * | | | | output
  4969. * | | | | |
  4970. .subckt opa627 1 2 3 4 5
  4971. *
  4972. c1 11 12 3.182p
  4973. c2 6 7 23.00p
  4974. css 10 99 40.45p
  4975. dc 5 53 dx
  4976. de 54 5 dx
  4977. dlp 90 91 dx
  4978. dln 92 90 dx
  4979. dp 4 3 dx
  4980. begnd 99 0 v=.5 * (v(3) +v(4))
  4981. bfb 7 99 i=419.4e6 * i(vb) -40e6 *( i(vc)-i(ve)-i(vlp)+i(vln))
  4982. ga 6 0 11 12 2.432e-3
  4983. gcm 0 6 10 99 3.779e-9
  4984. iss 3 10 dc 1.840e-3
  4985. hlim 90 0 vlim 1k
  4986. j1 11 2 10 jx
  4987. j2 12 1 10 jx
  4988. r2 6 9 100.0e3
  4989. rd1 4 11 419.4
  4990. rd2 4 12 419.4
  4991. ro1 8 5 54
  4992. ro2 7 99 1
  4993. rp 3 4 4.286e3
  4994. rss 10 99 108.7e3
  4995. vb 9 0 dc 0
  4996. vc 3 53 dc 2.7
  4997. ve 54 4 dc 2.7
  4998. vlim 7 8 dc 0
  4999. vlp 91 0 dc 55
  5000. vln 0 92 dc 55
  5001. .model dx d(is=800.0e-18)
  5002. .model jx pjf(is=500.0f beta=1.545e-3 vto=-1)
  5003. .ends
  5004.  
  5005. * OPA350 SPICE Macro-model 3/31/99, Rev. B by Marek Lis
  5006. * Rev. A 12/18/98, by Marek Lis
  5007. * REV. B 23 DEC 1998 NEIL ALBAUGH; REVISED CONNECTION NAMES TO MATCH SYMBOL
  5008. * Rev. C 3/31/99, by Marek Lis: added voltage and current noise (1/f noise is NOT modeled.)
  5009. * REV. D 1 APR 1999 NEIL ALBAUGH; REVISED CONNECTION NAMES TO MATCH SYMBOL
  5010. *
  5011. * Copyright 1997 by Burr-Brown Corporation
  5012. *
  5013. * non-inverting input
  5014. * | inverting input
  5015. * | | positive supply
  5016. * | | | negative supply
  5017. * | | | | output
  5018. * | | | | |
  5019. .SUBCKT OPA350 + - V+ V- OUT
  5020. * INPUT STAGE
  5021. *
  5022. i1 V+ 5 400u
  5023. m7 550 vswitch 5 5 pix l=2u w=25u m=26
  5024. m8 550 550 V- V- nix l=2u w=25u m=26
  5025. m9 553a 550 V- V- nix l=2u w=25u m=26
  5026. m9c 66 nvsat 553a V- nix l=2u w=25u m=26
  5027. Vpvsat V+ vswitch DC 1.8
  5028. Vnvsat nvsat V- DC 1.37
  5029. iin1 + 98 .5p
  5030. iin2 - 98 .5p
  5031. d3 5 V+ dx
  5032. d4 V- 66 dx
  5033. d5 - V+ dx
  5034. d6 + V+ dx
  5035. d7 V- - dx
  5036. d8 V- + dx
  5037. rinp 7 7a 500
  5038. rinn - 2a 500
  5039. m1 33 2a 66 V- nix l=2u w=25u m=13
  5040. m2 4 7a 66 V- nix l=2u w=25u m=13
  5041. m3 8 2a 5 5 pix l=2u w=25u m=13
  5042. m4 9 7a 5 5 pix l=2u w=25u m=13
  5043. eos 7 + poly(1) 25 98 0 0
  5044. ios - + 0p
  5045. r1 V+ 33x 4.833k
  5046. r2 V+ 4x 4.833k
  5047. r3 8x V- 4.833k
  5048. r4 9x V- 4.833k
  5049. vr1 33 33x DC 2
  5050. vr2 4 4x DC 2
  5051. vr3 8x 8 DC 2
  5052. vr4 9x 9 DC 2
  5053. i1a V+ V- 2464u
  5054. *
  5055. * GAIN STAGE
  5056. *
  5057. eref 98 0 poly(2) V+ 0 V- 0 0 0.5 0.5
  5058. g1 98 21 poly(2) 4 33 9 8 0 145u 145u
  5059. rg 21 98 2.3e6
  5060. cc 21 6c 10.6pF
  5061. rcc 6c OUT 2.4k
  5062. d1 21 22 dx
  5063. d2 23 21 dx
  5064. v1 V+ 22 1.37
  5065. v2 23 V- 1.37
  5066. *
  5067. * COMMON MODE GAIN STAGE
  5068. *
  5069. ecm 24 98 poly(2) + 98 - 98 0 0.5 0.5
  5070. r5 24 25 1e6
  5071. r6 25 98 10k
  5072. c1 24 25 0.75p
  5073. *
  5074. * OUTPUT STAGE
  5075. *
  5076. isy V+ V- 540.4u
  5077. gsy V+ V- poly(1) V+ V- -3.334e-4 6.667e-5
  5078. ep V+ 39 poly(1) 98 21 0.78925 1
  5079. en 38 V- poly(1) 21 98 0.78925 1
  5080. vh OUT 6h DC -2.4e-2
  5081. vl 6l OUT DC -2.4e-2
  5082. m113 6h 39 V+ V+ pox l=1.5u w=1500u m=12
  5083. m114 6l 38 V- V- nox l=1.5u w=1500u m=12
  5084. c15 OUT 39 15p
  5085. c16 OUT 38 15p
  5086. .model dx d(rs=1 cjo=0.1p)
  5087. .model nix nmos(vto=0.75 kp=205.5u rd=1 rs=1 rg=1 rb=1 cgso=4e-9
  5088. +cgdo=4e-9 cgbo=16.667e-9 cbs=2.34e-13 cbd=2.34e-13)
  5089. .model nox nmos(vto=0.75 kp=195u rd=.5 rs=.5 rg=1 rb=1 cgso=66.667p
  5090. +cgdo=66.667p cgbo=125e-9 cbs=2.34e-13 cbd=2.34e-13)
  5091. .model pix pmos(vto=-0.75 kp=205.5u rd=1 rs=1 rg=1 rb=1 cgso=4e-9
  5092. +cgdo=4e-9 cgbo=16.667e-9 cbs=2.34e-13 cbd=2.34e-13)
  5093. .model pox pmos(vto=-0.75 kp=195u rd=.5 rs=.5 rg=1 rb=1 cgso=66.667p
  5094. +cgdo=66.667p cgbo=125e-9 cbs=2.34e-13 cbd=2.34e-13)
  5095. .ENDS OPA350
  5096.  
  5097. * ad8065 spice model rev. a, 5/14 vc
  5098. * + NOISE
  5099. * the following parameters are accurately modeled;
  5100. * open loop gain and phase vs. frequency
  5101. * output impedance vs. frequency
  5102. * output clamping voltage and current
  5103. * fet input common mode range
  5104. * slew rate
  5105. * output currents are reflected to v supplies
  5106. * vos is static and will not vary
  5107. * distortion is not characterized
  5108. * node assignments
  5109. * non-inverting input
  5110. * | inverting input
  5111. * | | positive supply
  5112. * | | | negative supply
  5113. * | | | | output
  5114. * | | | | |
  5115. .subckt ad8065_my 1 2 99 50 30
  5116. * fet input stage
  5117. vos 9 200 1.5m
  5118. rno no 0 1833
  5119. bno 200 2 v=v(no)
  5120. cd 1 2 4.5p
  5121. ccm1 1 0 2.1p
  5122. ccm2 2 0 2.1p
  5123. j1 10 1 5 nmod
  5124. j2 11 9 6 nmod
  5125. r3 99 5 1132
  5126. r4 99 6 1132
  5127. r5 10 4 390
  5128. r6 11 4 390
  5129. i11 4 50 0.87e-3
  5130. * common-mode gain netw0rk
  5131. becm 80 15 v=.5 *( v(2, 15)+ v(1, 15))
  5132. *zero at 40 khz
  5133. gcm1 15 81 80 15 4e-6
  5134. lcm1 81 82 2e-3
  5135. rcm1 82 15 1k
  5136. * gain stage & pole at 17 khz
  5137. ecc 98 0 99 0 1
  5138. ess 52 0 50 0 1
  5139. beref 15 0 v=.5 *( v(99) + v(50))
  5140. g1 13 15 5 6 0.6
  5141. r7 13 15 3.125k
  5142. c3 13 15 3n
  5143. v1 98 14 1
  5144. v2 16 52 1
  5145. d1 13 14 dx
  5146. d2 16 13 dx
  5147. * pole at 334 mhz
  5148. g2 15 43 13 15 3.777m
  5149. r10 15 43 265
  5150. c5 15 43 1.8p
  5151. * pole at 665 mhz
  5152. g3 15 53 43 15 7.54m
  5153. r11 15 53 133
  5154. c6 15 53 1.8p
  5155. *pole at 665 mhz
  5156. g4 15 63 53 15 7.54m
  5157. r12 15 63 133
  5158. c7 15 63 1.8p
  5159. * buffer stage
  5160. gbuf 15 32 63 15 1e-3
  5161. rbuf 32 15 1000
  5162. * output stage
  5163. vo1 99 90 0
  5164. vo2 51 50 0
  5165. r18 25 90 .02
  5166. r19 25 51 .02
  5167. vcd 25 30 0
  5168. g6 25 90 99 32 50
  5169. g7 51 25 32 50 50
  5170. v4 26 25 -0.82
  5171. v5 25 27 -0.82
  5172. d5 32 26 dx
  5173. d6 27 32 dx
  5174. fo1 15 70 vcd 1
  5175. d7 70 71 dx
  5176. d8 72 70 dx
  5177. vi1 71 15 0
  5178. vi2 15 72 0
  5179. erefq 96 0 30 0 1
  5180. iq 99 50 5.7m
  5181. bfq1 96 99 i= i(vo1) - i(vi1)
  5182. bfq2 50 96 i= i(vo2) - i(vi2)
  5183. .model nmod njf vto=0.13 beta=100 is=2.4e-13 kf=4.5e-8 af=2
  5184. .model dx d(is=1f)
  5185. .ends
  5186.  
  5187.  
  5188. * opa627e operational amplifier "macromodel" subcircuit
  5189. * "e" is enhanced model
  5190. * created using parts release 4.03 on 10/04/90 at 09:30
  5191. *
  5192. * rev.b 5/22/91 tk
  5193. * rev.c 3/21/92 bcb: added input bias current correction and
  5194. * current and voltage noise
  5195. * connections: non-inverting input
  5196. * | inverting input
  5197. * | | positive power supply
  5198. * | | | negative power supply
  5199. * | | | | output
  5200. * | | | | |
  5201. .subckt opa627e 1 2 3 4 5
  5202. *
  5203. c1 11 12 3.182p
  5204. c2 6 7 23.00p
  5205. css 10 99 40.45p
  5206. dc 5 53 dx
  5207. de 54 5 dx
  5208. dlp 90 91 dx
  5209. dln 92 90 dx
  5210. dp 4 3 dx
  5211. begnd 99 0 v=.5 * (v(3) +v(4))
  5212. bfb 7 99 i=419.4e6 * i(vb) -40e6 *( i(vc)-i(ve)-i(vlp)+i(vln))
  5213. ga 6 0 11 12 2.432e-3
  5214. gcm 0 6 10 99 3.779e-9
  5215. iss 3 10 dc 1.840e-3
  5216. hlim 90 0 vlim 1k
  5217. j1 11 2 10 jx
  5218. j2 12 64 10 jx
  5219. bg11 2 4 i=1p * (v(10, 2)+v(11, 2)+v(4, 2)) + 1.6e-6 * v(66)
  5220. bg21 1 4 i=1p * (v(10, 1)+v(12, 1)+v(4, 1)) + 1.6e-6 * v(68)
  5221. r2 6 9 100.0e3
  5222. rd1 4 11 419.4
  5223. rd2 4 12 419.4
  5224. ro1 8 5 54
  5225. ro2 7 99 1
  5226. * rp 3 4 4.286e3
  5227. rss 10 99 108.7e3
  5228. vb 9 0 dc 0
  5229. vc 3 53 dc 2.700
  5230. ve 54 4 dc 2.700
  5231. vlim 7 8 dc 0
  5232. vlp 91 0 dc 55
  5233. vln 0 92 dc 55
  5234. ****************************
  5235. * opa627 "e" - enhancements
  5236. ****************************
  5237. * output supply mirror
  5238. * fq3 0 20 poly(1) vlim 0 1
  5239. bfq3 0 20 i=i(vlim)
  5240. dq1 20 21 dx
  5241. dq2 22 20 dx
  5242. vq1 21 0 0
  5243. vq2 22 0 0
  5244. bfq1 3 0 i=4.76e-3 + i(vq1)
  5245. bfq2 0 4 i=4.76e-3 - i(vq2)
  5246. * quiescient current
  5247. rq 3 4 7.5e4
  5248. * diff input capacitance
  5249. cdif 1 2 8.0p
  5250. * common mode input capacitance
  5251. c1cm 1 99 7.0p
  5252. c2cm 2 99 7.0p
  5253. * input voltage noise
  5254. vn1 61 0 0.6
  5255. vn2 0 62 0.6
  5256. dn1 61 63 dy
  5257. dn2 63 62 dy
  5258. en 64 1 63 0 1
  5259. * input current noise
  5260. rn1 0 65 60.3865
  5261. rn2 65 66 60.3865
  5262. rn3 66 0 120.773
  5263. rn4 0 67 60.3865
  5264. rn5 67 68 60.3865
  5265. rn6 68 0 120.773
  5266. ******************
  5267. .model dy d(is=1p af=1 kf=71.89f)
  5268. .model dx d(is=800.0e-18)
  5269. .model jx pjf(is=500.0f beta=1.545e-3 vto=-1)
  5270. .ends
  5271.  
  5272.  
  5273. * OP177 SPICE Macro-model 12/90, Rev. B
  5274. *
  5275. * Revision History:
  5276. * REV. B
  5277. * Re-ordered subcircuit call out nodes to put the
  5278. * output node last.
  5279. * Changed Ios from 0.3E-9 to 0.15E-9
  5280. * Added F1 and F2 to fix short circuit current limit.
  5281. *
  5282. * Refer to "README.DOC" file for License Statement. Use of this model
  5283. * indicates your acceptance with the terms and provisions in the License Statement.
  5284. *
  5285. * Node assignments
  5286. * non-inverting input
  5287. * | inverting input
  5288. * | | positive supply
  5289. * | | | negative supply
  5290. * | | | | output
  5291. * | | | | |
  5292. .SUBCKT OP177 1 2 99 50 39
  5293. *
  5294. * INPUT STAGE & POLE AT 6 MHZ
  5295. *
  5296. R1 2 3 5E11
  5297. R2 1 3 5E11
  5298. R3 5 97 0.0606
  5299. R4 6 97 0.0606
  5300. CIN 1 2 4p
  5301. C2 5 6 218.9E-9
  5302. I1 4 51 1
  5303. IOS 1 2 0.15E-9
  5304. EOS 9 10 POLY(1) 30 33 4E-6 1
  5305. Q1 5 2 7 QX
  5306. Q2 6 9 8 QX
  5307. R5 7 4 0.009
  5308. R6 8 4 0.009
  5309. D1 2 1 DX
  5310. D2 1 2 DX
  5311. EN 10 1 12 0 1
  5312. GN1 0 2 15 0 1
  5313. GN2 0 1 18 0 1
  5314. *
  5315. EREF 98 0 33 0 1
  5316. EPLUS 97 0 99 0 1
  5317. ENEG 51 0 50 0 1
  5318. *
  5319. * VOLTAGE NOISE SOURCE WITH FLICKER NOISE
  5320. *
  5321. DN1 11 12 DEN
  5322. DN2 12 13 DEN
  5323. VN1 11 0 DC 2
  5324. VN2 0 13 DC 2
  5325. *
  5326. * CURRENT NOISE SOURCE WITH FLICKER NOISE
  5327. *
  5328. DN3 14 15 DIN
  5329. DN4 15 16 DIN
  5330. VN3 14 0 DC 2
  5331. VN4 0 16 DC 2
  5332. *
  5333. * SECOND CURRENT NOISE SOURCE
  5334. *
  5335. DN5 17 18 DIN
  5336. DN6 18 19 DIN
  5337. VN5 17 0 DC 2
  5338. VN6 0 19 DC 2
  5339. *
  5340. * FIRST GAIN STAGE
  5341. *
  5342. R7 20 98 1
  5343. G1 98 20 5 6 59.91
  5344. D3 20 21 DX
  5345. D4 22 20 DX
  5346. E1 97 21 POLY(1) 97 33 -2.4 1
  5347. E2 22 51 POLY(1) 33 51 -2.4 1
  5348. *
  5349. * GAIN STAGE & DOMINANT POLE AT 0.053 HZ
  5350. *
  5351. R8 23 98 6.01E9
  5352. C3 23 98 500p
  5353. G2 98 23 20 33 33.3E-6
  5354. V1 97 24 1.3
  5355. V2 25 51 1.3
  5356. D5 23 24 DX
  5357. D6 25 23 DX
  5358. *
  5359. * NEGATIVE ZERO AT -4MHZ
  5360. *
  5361. R9 26 27 1
  5362. C4 26 27 -39.75E-9
  5363. R10 27 98 1E-6
  5364. E3 26 98 23 33 1E6
  5365. *
  5366. * COMMON-MODE GAIN NETWORK WITH ZERO AT 20 HZ
  5367. *
  5368. R13 30 31 1
  5369. L2 31 98 7.96E-3
  5370. G4 98 30 3 33 1.0E-7
  5371. D7 30 97 DX
  5372. D8 51 30 DX
  5373. *
  5374. * POLE AT 2 MHZ
  5375. *
  5376. R14 32 98 1
  5377. C5 32 98 79.5E-9
  5378. G5 98 32 27 33 1
  5379. *
  5380. * OUTPUT STAGE
  5381. *
  5382. R15 33 97 1
  5383. R16 33 51 1
  5384. GSY 99 50 POLY(1) 99 50 0.325E-3 0.0425E-3
  5385. F1 34 0 V3 1
  5386. F2 0 34 V4 1
  5387. R17 34 99 400
  5388. R18 34 50 400
  5389. L3 34 39 2E-7
  5390. G6 37 50 32 34 2.5E-3
  5391. G7 38 50 34 32 2.5E-3
  5392. G8 34 99 99 32 2.5E-3
  5393. G9 50 34 32 50 2.5E-3
  5394. V3 35 34 6.8
  5395. V4 34 36 4.4
  5396. D9 32 35 DX
  5397. D10 36 32 DX
  5398. D11 99 37 DX
  5399. D12 99 38 DX
  5400. D13 50 37 DY
  5401. D14 50 38 DY
  5402. *
  5403. * MODELS USED
  5404. *
  5405. .MODEL QX NPN(BF=500E6)
  5406. .MODEL DX D(IS=1f)
  5407. .MODEL DY D(IS=1f BV=50)
  5408. .MODEL DEN D(IS=1p, RS=12.08K, KF=1E-17, AF=1)
  5409. .MODEL DIN D(IS=1p, RS=7.55E-6, KF=1.55f, AF=1)
  5410. .ENDS
  5411.  
  5412.  
  5413.  
  5414. * CREATED USING PARTS RELEASE 4.03 ON 10/15/90 AT 15:33
  5415.  
  5416. * CONNECTIONS: NON-INVERTING INPUT
  5417.  
  5418. * | INVERTING INPUT
  5419.  
  5420. * | | POSITIVE POWER SUPPLY
  5421.  
  5422. * | | | NEGATIVE POWER SUPPLY
  5423.  
  5424. * | | | | OUTPUT
  5425.  
  5426. * | | | | |
  5427.  
  5428. .SUBCKT OPA177 1 2 3 4 5
  5429. *
  5430. C1 11 12 40.00p
  5431. C2 6 7 80.00p
  5432. DC 5 53 DX
  5433. DE 54 5 DX
  5434. DLP 90 91 DX
  5435. DLN 92 90 DX
  5436. DP 4 3 DX
  5437. EGND 99 0 POLY(2) (3,0) (4,0) 0 .5 .5
  5438. FB 7 99 POLY(5) VB VC VE VLP VLN 0 1.326E9 -1E9 1E9 1E9 -1E9
  5439. GA 6 0 11 12 301.6E-6
  5440. GCM 0 6 10 99 30.16p
  5441. IEE 10 4 DC 20.00E-6
  5442. HLIM 90 0 VLIM 1K
  5443. Q1 11 2 13 QX
  5444. Q2 12 1 14 QX
  5445. R2 6 9 100.0E3
  5446. RC1 3 11 3.316E3
  5447. RC2 3 12 3.316E3
  5448. RE1 13 10 729.2
  5449. RE2 14 10 729.2
  5450. REE 10 99 9.999E6
  5451. RO1 8 5 30
  5452. RO2 7 99 30
  5453. RP 3 4 15.15E3
  5454. VB 9 0 DC 0
  5455. VC 3 53 DC 1.500
  5456. VE 54 4 DC 1.500
  5457. VLIM 7 8 DC 0
  5458. VLP 91 0 DC 22
  5459. VLN 0 92 DC 22
  5460. .MODEL DX D(IS=800.0E-18)
  5461. .MODEL QX NPN(IS=800.0E-18 BF=10.00E3)
  5462. .ENDS
  5463.  
  5464. * WARNING : please consider following remarks before usage *
  5465. * 1) All models are a tradeoff between accuracy and complexity (ie. simulation
  5466. * time).
  5467. * 2) Macromodels are not a substitute to breadboarding, they rather confirm the
  5468. * validity of a design approach and help to select surrounding component values.
  5469. *
  5470. * 3) A macromodel emulates the NOMINAL performance of a TYPICAL device within
  5471. * SPECIFIED OPERATING CONDITIONS (ie. temperature, supply voltage, etc.).
  5472. * Thus the macromodel is often not as exhaustive as the datasheet, its goal
  5473. * is to illustrate the main parameters of the product.
  5474. *
  5475. * 4) Data issued from macromodels used outside of its specified conditions
  5476. * (Vcc, Temperature, etc) or even worse: outside of the device operating
  5477. * conditions (Vcc, Vicm, etc) are not reliable in any way.
  5478. *-----------------------------------------------------------------------------------------
  5479. ** Standard Linear Ics Macromodels, 1996.
  5480. ** CONNECTIONS :
  5481. * 1 INVERTING INPUT
  5482. * 2 NON-INVERTING INPUT
  5483. * 3 OUTPUT
  5484. * 4 POSITIVE POWER SUPPLY
  5485. * 5 NEGATIVE POWER SUPPLY
  5486. *
  5487. .SUBCKT TS95X 1 2 3 4 5
  5488. *
  5489. .MODEL MDTH D IS=1E-8 KF=2.664234E-16 CJO=10F
  5490. *
  5491. * INPUT STAGE
  5492. CIP 2 5 1.000000p
  5493. CIN 1 5 1.000000p
  5494. EIP 10 5 2 5 1
  5495. EIN 16 5 1 5 1
  5496. RIP 10 11 8.125000E+00
  5497. RIN 15 16 8.125000E+00
  5498. RIS 11 15 2.238465E+02
  5499. DIP 11 12 MDTH 400p
  5500. DIN 15 14 MDTH 400p
  5501. VOFP 12 13 DC 153.5u
  5502. VOFN 13 14 DC 0
  5503. IPOL 13 5 3.200000E-05
  5504. CPS 11 15 1e-9
  5505. DINN 17 13 MDTH 400p
  5506. VIN 17 5 -0.100000e+00
  5507. DINR 15 18 MDTH 400p
  5508. VIP 4 18 0.400000E+00
  5509. FCP 4 5 VOFP 1.865000E+02
  5510. FCN 5 4 VOFN 1.865000E+02
  5511. FIBP 2 5 VOFP 6.250000E-03
  5512. FIBN 5 1 VOFN 6.250000E-03
  5513. * GM1 STAGE ***************
  5514. FGM1P 119 5 VOFP 1.1
  5515. FGM1N 119 5 VOFN 1.1
  5516. RAP 119 4 2.6E+06
  5517. RAN 119 5 2.6E+06
  5518. * GM2 STAGE ***************
  5519. G2P 19 5 119 5 1.92E-02
  5520. G2N 19 5 119 4 1.92E-02
  5521. R2P 19 4 1E+07
  5522. R2N 19 5 1E+07
  5523. **************************
  5524. VINT1 500 0 5
  5525. GCONVP 500 501 119 4 19.38
  5526. VP 501 0 0
  5527. GCONVN 500 502 119 5 19.38
  5528. VN 502 0 0
  5529. ********* orientation isink isource *******
  5530. VINT2 503 0 5
  5531. FCOPY 503 504 VOUT 1
  5532. DCOPYP 504 505 MDTH 400E-9
  5533. VCOPYP 505 0 0
  5534. DCOPYN 506 504 MDTH 400E-9
  5535. VCOPYN 0 506 0
  5536. ***************************
  5537. F2PP 19 5 poly(2) VCOPYP VP 0 0 0 0 0.5
  5538. F2PN 19 5 poly(2) VCOPYP VN 0 0 0 0 0.5
  5539. F2NP 19 5 poly(2) VCOPYN VP 0 0 0 0 1.75
  5540. F2NN 19 5 poly(2) VCOPYN VN 0 0 0 0 1.75
  5541. * COMPENSATION ************
  5542. CC 19 119 25p
  5543. * OUTPUT ***********
  5544. DOPM 19 22 MDTH 400p
  5545. DONM 21 19 MDTH 400p
  5546. HOPM 22 28 VOUT 6.250000E+02
  5547. VIPM 28 4 5.000000E+01
  5548. HONM 21 27 VOUT 6.250000E+02
  5549. VINM 5 27 5.000000E+01
  5550. VOUT 3 23 0
  5551. ROUT 23 19 6
  5552. COUT 3 5 1.300000E-10
  5553. DOP 19 25 MDTH 400p
  5554. VOP 4 25 1.052
  5555. DON 24 19 MDTH 400p
  5556. VON 24 5 1.052
  5557. .ENDS
  5558.  
  5559. * OPA364 SPICE Macro-model
  5560. *
  5561. * Rev. A 12 December 2003, by W.K. Sands
  5562. *
  5563. * Rev. B 16 December 2003 By Neil Albaugh: ADDED HEADER TEXT & EDITED TEXT
  5564. *
  5565. * This macromodel has been optimized to model the AC, DC, and transient response performance within
  5566. * the device data sheet specified limits.
  5567. * Correct operation of this macromodel has been verified on MicroSim P-Spice ver. 8.0 and on
  5568. * PENZAR Development TopSPICE ver. 6.82d. For help with other analog simulation software,
  5569. * please consult your software supplier.
  5570. *
  5571. *
  5572. * Copyright 2003 by Texas Instruments Corporation
  5573. *
  5574. * BEGIN MODEL OPA364
  5575. *
  5576. * BEGIN NOTES
  5577. *
  5578. *
  5579. * MODEL TEMPERATURE RANGE IS -40 C TO +125 C, NOT ALL PARAMETERS ACCURATELY TRACK THOSE OF AN ACTUAL OPA364
  5580. * OVER THE FULL TEMPERATURE RANGE BUT ARE AS CLOSE AS PRACTICAL
  5581. *
  5582. * END NOTES
  5583. *
  5584. * BEGIN MODELED FEATURES
  5585. *
  5586. * OPEN LOOP GAIN AND PHASE
  5587. * INPUT VOLTAGE NOISE
  5588. * INPUT CURRENT NOISE
  5589. * INPUT BIAS CURRENT
  5590. * SAME VERSUS TEMPERATURE
  5591. * INPUT CAPACITANCE
  5592. * INPUT COMMON MODE VOLTAGE RANGE
  5593. * INPUT CLAMPS TO RAILS
  5594. * CMRR WITH FREQUENCY EFFECTS
  5595. * PSRR WITH FREQUENCY EFFECTS
  5596. * SLEW RATE
  5597. * QUIESCENT CURRENT
  5598. * QUIESCENT CURRENT VS VOLTAGE
  5599. * RAIL TO RAIL OUTPUT STAGE
  5600. * HIGH CLOAD EFFECTS
  5601. * CLASS AB BIAS IN OUTPUT STAGE
  5602. * OUTPUT CURRENT THROUGH SUPPLIES
  5603. * OUTPUT CURRENT LIMITING
  5604. * OUTPUT CLAMPS TO RAILS
  5605. * OUTPUT SWING VS OUTPUT CURRENT
  5606. * OUTPUT SWING VS SUPPLY VOLT
  5607. * OUTPUT WILL SWING SLIGHTLY BELOW -V W EXTERNAL PULLDOWN TO A SUPPLY MORE NEGATIVE THAN -V
  5608. *
  5609. * END FEATURES
  5610. *
  5611. *
  5612. * PINOUT ORDER +IN -IN +V -V OUT
  5613. * PINOUT ORDER 3 4 6 2 1
  5614. *
  5615. .SUBCKT OPA364 3 4 6 2 1
  5616. *
  5617. VEN 5 2 1
  5618. RVEN 5 2 1E9
  5619. Q20 7 8 9 QLN
  5620. R3 10 11 20
  5621. R4 12 11 20
  5622. R10 8 13 1E3
  5623. R11 14 15 1E3
  5624. R12 15 6 7
  5625. R13 2 13 7
  5626. R16 16 17 300
  5627. R17 18 19 7
  5628. R18 9 20 7
  5629. D5 1 6 DD
  5630. D6 2 1 DD
  5631. D7 21 0 DIN
  5632. D8 22 0 DIN
  5633. I8 0 21 0.1E-3
  5634. I9 0 22 0.1E-3
  5635. E2 9 0 2 0 1
  5636. E3 19 0 6 0 1
  5637. D9 23 0 DVN
  5638. D10 24 0 DVN
  5639. I10 0 23 0.1E-3
  5640. I11 0 24 0.1E-3
  5641. E4 25 4 23 24 0.07
  5642. G2 26 4 21 22 2.8E-7
  5643. R22 2 6 100E6
  5644. E5 27 0 28 0 1
  5645. E6 29 0 9 0 1
  5646. E7 30 0 31 0 1
  5647. R30 27 32 1E5
  5648. R31 29 33 1E5
  5649. R32 30 34 1E5
  5650. R33 0 32 10
  5651. R34 0 33 10
  5652. R35 0 34 10
  5653. E10 35 3 34 0 0.11
  5654. R36 36 31 1K
  5655. R37 31 37 1K
  5656. C6 27 32 2p
  5657. C7 29 33 2p
  5658. C8 30 34 2p
  5659. E11 38 35 33 0 1
  5660. E12 26 38 32 0 1
  5661. E14 39 9 19 9 0.5
  5662. D11 16 19 DD
  5663. D12 9 16 DD
  5664. M1 40 41 13 13 NOUT L=3U W=400U
  5665. M2 42 43 15 15 POUT L=3U W=1400U
  5666. M3 44 44 18 18 POUT L=3U W=1400U
  5667. M4 45 46 10 10 PIN L=3U W=160U
  5668. M5 47 48 12 12 PIN L=3U W=160U
  5669. M8 49 49 20 20 NOUT L=3U W=400U
  5670. R43 50 43 100
  5671. R44 51 41 100
  5672. G3 16 39 52 39 0.2E-3
  5673. R45 39 16 2.5E6
  5674. C12 17 1 10p
  5675. R46 9 45 2E3
  5676. R47 9 47 2E3
  5677. C13 45 47 1p
  5678. C14 26 0 3p
  5679. C15 25 0 3p
  5680. C16 1 0 0.5p
  5681. D13 41 7 DD
  5682. D14 53 43 DD
  5683. Q15 53 14 19 QLP
  5684. V18 26 54 0.18M
  5685. M19 55 56 28 28 PIN L=6U W=500U
  5686. E17 37 0 26 0 1
  5687. E18 36 0 4 0 1
  5688. M23 56 56 28 28 PIN L=6U W=500U
  5689. V21 55 11 0.9
  5690. R59 1 42 17
  5691. R60 40 1 53
  5692. J1 57 26 57 JNC
  5693. J2 57 25 57 JNC
  5694. J3 25 58 25 JNC
  5695. J4 26 58 26 JNC
  5696. C21 26 59 2p
  5697. E20 60 39 47 45 1
  5698. R62 60 52 1E4
  5699. C23 52 39 0.1p
  5700. G7 61 39 16 39 -1E-3
  5701. G8 39 62 16 39 1E-3
  5702. G9 39 63 49 9 1E-3
  5703. G10 64 39 19 44 1E-3
  5704. D17 64 61 DD
  5705. D18 62 63 DD
  5706. R66 61 64 100E6
  5707. R67 63 62 100E6
  5708. R68 64 19 1E3
  5709. R69 9 63 1E3
  5710. E23 19 50 19 64 1
  5711. E24 51 9 63 9 1
  5712. R70 62 39 1E6
  5713. R71 63 39 1E6
  5714. R72 39 64 1E6
  5715. R73 39 61 1E6
  5716. G11 6 2 65 0 0.2E-3
  5717. R75 38 26 1E9
  5718. R76 35 38 1E9
  5719. R77 3 35 1E9
  5720. R78 4 25 1E9
  5721. R79 39 52 1E9
  5722. R81 50 19 1E9
  5723. R82 9 51 1E9
  5724. R83 31 0 1E9
  5725. G14 56 9 65 0 125E-6
  5726. G15 44 49 65 0 225E-6
  5727. E48 66 16 65 0 30
  5728. E49 67 39 65 0 -30
  5729. V49 68 67 15
  5730. V50 69 66 -15
  5731. R127 66 0 1E12
  5732. R128 67 0 1E12
  5733. M41 39 69 16 70 PSW L=1.5U W=150U
  5734. M42 16 68 39 71 NSW L=1.5U
  5735. R129 70 0 1E12
  5736. R130 71 0 1E12
  5737. M43 72 5 9 9 NEN L=3U W=300U
  5738. M44 73 74 9 9 NEN L=3U W=3000U
  5739. R131 72 28 1E4
  5740. R132 73 75 1E6
  5741. V51 75 9 1
  5742. I20 5 9 10p
  5743. C26 5 0 1p
  5744. E50 65 0 76 9 1
  5745. V52 73 76 1.111E-6
  5746. R133 9 76 1E12
  5747. C32 28 72 15p
  5748. I21 6 2 0.9E-6
  5749. V78 28 57 0
  5750. V79 58 9 0.05
  5751. R155 44 19 1E8
  5752. R156 9 49 1E8
  5753. R157 13 41 1E8
  5754. R158 15 43 1E8
  5755. RG1 0 65 1E9
  5756. R159 59 25 100
  5757. V82 28 19 1.8
  5758. R225 48 25 5200
  5759. R226 46 54 5200
  5760. G34 25 0 77 0 10p
  5761. I40 25 0 1p
  5762. I45 0 78 1M
  5763. D43 78 0 DD
  5764. V111 78 79 0.7
  5765. R293 0 79 1E6
  5766. E92 80 0 79 0 -571
  5767. R294 0 80 1E6
  5768. R295 81 80 1E6
  5769. D44 82 81 DD
  5770. V112 82 83 27
  5771. V113 81 77 26.4
  5772. I46 0 84 1M
  5773. D45 84 0 DD
  5774. V114 84 85 0.7
  5775. R296 0 85 1E6
  5776. E93 83 0 85 0 1
  5777. G35 26 0 77 0 10p
  5778. I47 26 0 1p
  5779. M48 86 87 2 2 NIQ L=3U W=1000U
  5780. R297 86 6 8E3
  5781. E94 87 2 65 0 2
  5782. M49 88 72 9 9 NEN L=3U W=300U
  5783. M50 74 88 9 9 NEN L=3U W=300U
  5784. R298 88 75 1E4
  5785. R299 74 75 1E4
  5786. C35 75 88 2.3E-9
  5787. R300 0 77 1E9
  5788. R301 0 77 1E9
  5789. .MODEL DVN D KF=8p IS=1E-16
  5790. .MODEL DD D
  5791. .MODEL DIN D
  5792. .MODEL QLN NPN
  5793. .MODEL QLP PNP
  5794. .MODEL JNC NJF
  5795. .MODEL POUT PMOS KP=200U VTO=-0.7
  5796. .MODEL NOUT NMOS KP=200U VTO=0.7
  5797. .MODEL PIN PMOS KP=200U VTO=-0.7
  5798. .MODEL NIN NMOS KP=200U VTO=0.7
  5799. .MODEL NIQ NMOS KP=200U VTO=0.7 IS=1E-18
  5800. .MODEL NEN NMOS KP=200U VTO=0.5 IS=1E-18
  5801. .MODEL PEN PMOS KP=200U VTO=-0.7 IS=1E-18
  5802. .MODEL PSW PMOS KP=200U VTO=-7.5 IS=1E-18
  5803. .MODEL NSW NMOS KP=200U VTO=7.5 IS=1E-18
  5804. .ENDS
  5805. * END MODEL OPA364
  5806.  
  5807. * OPA365 SPICE MACROMODEL
  5808. *
  5809. * Rev. A 4 August 2006, by W.K. SANDS
  5810. *
  5811. * Rev. B 4 August 2006, by NEIL ALBAUGH: ADDED HEADER TEXT & EDITED TEXT
  5812. *
  5813. * MODEL TEMPERATURE RANGE IS -40 C TO +125 C, NOT ALL PARAMETERS ACCURATELY TRACK THOSE OF AN ACTUAL OPA365
  5814. * OVER THE FULL TEMPERATURE RANGE BUT ARE AS CLOSE AS PRACTICAL
  5815. *
  5816. * END NOTES
  5817. *
  5818. * BEGIN MODEL OPA365
  5819. *
  5820. * BEGIN MODEL FEATURES
  5821. *
  5822. * OPEN LOOP GAIN AND PHASE
  5823. * INPUT VOLTAGE NOISE
  5824. * INPUT CURRENT NOISE
  5825. * INPUT BIAS CURRENT
  5826. * INPUT CAPACITANCE
  5827. * INPUT COMMON MODE VOLTAGE RANGE
  5828. * INPUT CLAMPS TO RAILS
  5829. * CMRR WITH FREQUENCY EFFECTS
  5830. * PSRR WITH FREQUENCY EFFECTS
  5831. * SLEW RATE
  5832. * SETTLING TIME
  5833. * OVERLOAD RECOVERY TIME
  5834. * QUIESCENT CURRENT
  5835. * QUIESCENT CURRENT VS VOLTAGE
  5836. * RAIL TO RAIL OUTPUT STAGE
  5837. * HIGH CLOAD EFFECTS
  5838. * CLASS AB BIAS IN OUTPUT STAGE
  5839. * OUTPUT CURRENT THROUGH SUPPLIES
  5840. * OUTPUT CURRENT LIMITING
  5841. * OUTPUT CLAMPS TO RAILS
  5842. * OUTPUT SWING VS OUTPUT CURRENT
  5843. *
  5844. * END MODEL FEATURES
  5845. *
  5846. * PINOUT ORDER +IN -IN +V -V OUT
  5847. * PINOUT ORDER 3 4 5 2 1
  5848. *
  5849. .SUBCKT OPA365 3 4 5 2 1
  5850. *
  5851. Q23 6 7 8 QNL
  5852. R211 9 10 2
  5853. R212 11 10 2
  5854. R213 7 12 1E3
  5855. R214 13 14 1E3
  5856. R215 15 5 8
  5857. R216 2 16 8
  5858. R218 17 18 250
  5859. R219 19 20 8
  5860. R220 8 21 8
  5861. D33 22 5 DD
  5862. D34 2 22 DD
  5863. D35 23 0 DIN
  5864. D36 24 0 DIN
  5865. I29 0 23 0.1E-3
  5866. I30 0 24 0.1E-3
  5867. E72 8 0 2 0 1
  5868. E73 20 0 5 0 1
  5869. D37 25 0 DVN
  5870. D38 26 0 DVN
  5871. I31 0 25 0.1E-3
  5872. I32 0 26 0.1E-3
  5873. E74 27 4 25 26 0.32
  5874. G25 28 4 23 24 1.75E-6
  5875. R221 2 5 5E3
  5876. E75 29 0 20 0 1
  5877. E76 30 0 8 0 1
  5878. E77 31 0 32 0 1
  5879. R223 29 33 1E5
  5880. R224 30 34 1E5
  5881. R225 31 35 1E5
  5882. R226 0 33 10
  5883. R227 0 34 10
  5884. R228 0 35 10
  5885. E78 36 3 35 0 0.003
  5886. R229 37 32 1E3
  5887. R230 32 38 1E3
  5888. C50 29 33 1E-12
  5889. C51 30 34 1E-12
  5890. C52 31 35 5E-9
  5891. E79 39 36 34 0 -0.15
  5892. E80 28 39 33 0 0.15
  5893. E81 40 8 20 8 0.5
  5894. D39 17 20 DD
  5895. D40 8 17 DD
  5896. M61 41 42 16 16 NOUT L=3U W=1600U
  5897. M62 43 44 15 15 POUT L=3U W=1600U
  5898. M63 45 45 19 19 POUT L=3U W=1600U
  5899. M64 46 47 9 9 PIN L=3U W=440U
  5900. M65 48 49 11 11 PIN L=3U W=440U
  5901. M66 50 50 21 21 NOUT L=3U W=1600U
  5902. R231 51 44 100
  5903. R232 52 42 100
  5904. G26 17 40 53 40 0.2E-3
  5905. R233 40 17 20E6
  5906. C53 18 22 4.2E-12
  5907. R234 8 46 3E3
  5908. R235 8 48 3E3
  5909. C54 46 48 0.08E-12
  5910. C55 28 0 6E-12
  5911. C56 27 0 6E-12
  5912. C57 22 0 5E-12
  5913. D41 42 6 DD
  5914. D42 54 44 DD
  5915. Q24 54 14 20 QPL
  5916. V93 28 55 75E-6
  5917. M67 56 57 20 20 PIN L=6U W=500U
  5918. E82 38 0 28 0 1
  5919. E83 37 0 4 0 1
  5920. M68 57 57 20 20 PIN L=6U W=500U
  5921. V95 56 10 -0.9
  5922. R236 22 43 8
  5923. R237 41 22 8
  5924. J9 20 28 20 JI
  5925. J10 20 27 20 JI
  5926. J11 27 58 27 JI
  5927. J12 28 58 28 JI
  5928. C58 28 27 0.35E-12
  5929. E84 59 40 48 46 1
  5930. R238 59 53 1E4
  5931. C59 53 40 0.08E-12
  5932. G27 60 40 17 40 -1E-3
  5933. G28 40 61 17 40 1E-3
  5934. G29 40 62 50 8 1E-3
  5935. G30 63 40 20 45 1E-3
  5936. D43 63 60 DD
  5937. D44 61 62 DD
  5938. R239 60 63 100E6
  5939. R240 62 61 100E6
  5940. R241 63 20 1E3
  5941. R242 8 62 1E3
  5942. E85 20 51 20 63 1
  5943. E86 52 8 62 8 1
  5944. R243 61 40 1E6
  5945. R244 62 40 1E6
  5946. R245 40 63 1E6
  5947. R246 40 60 1E6
  5948. R247 0 64 1E6
  5949. R248 39 28 1E9
  5950. R249 36 39 1E9
  5951. R250 3 36 1E9
  5952. R251 4 27 1E9
  5953. R252 40 53 1E9
  5954. R253 51 20 1E9
  5955. R254 8 52 1E9
  5956. R255 32 0 1E9
  5957. G32 57 8 64 0 181E-6
  5958. G33 45 50 64 0 490E-6
  5959. I35 5 2 3.15E-3
  5960. L5 22 1 0.4E-9
  5961. R265 22 1 400
  5962. R269 45 20 1E8
  5963. R270 8 50 1E8
  5964. R271 15 44 1E8
  5965. R272 42 22 1E8
  5966. G36 27 0 65 0 23E-12
  5967. I42 27 0 200E-15
  5968. I47 0 66 1M
  5969. D46 66 0 DD
  5970. V113 66 67 0.655
  5971. R311 0 67 1E6
  5972. E94 68 0 67 0 -571
  5973. R312 0 68 1E6
  5974. G37 28 0 65 0 23E-12
  5975. I49 28 0 200E-15
  5976. V119 69 68 -73
  5977. D47 69 65 DD
  5978. R313 0 65 1E6
  5979. R315 47 55 100
  5980. R316 27 49 100
  5981. V120 58 8 0.3
  5982. R317 57 20 1E9
  5983. V121 64 0 1
  5984. R380 17 22 1E9
  5985. E115 12 8 16 8 1.5
  5986. E116 20 13 5 15 1.5
  5987. .MODEL DVN D KF=8E-14 IS=1E-16
  5988. .MODEL DIN D
  5989. .MODEL DD D
  5990. .MODEL JI NJF IS=1E-18
  5991. .MODEL QPL PNP
  5992. .MODEL QNL NPN
  5993. .MODEL POUT PMOS KP=200U VTO=-0.7
  5994. .MODEL NOUT NMOS KP=200U VTO=0.7
  5995. .MODEL PIN PMOS KP=200U VTO=-0.7
  5996. .ENDS
  5997.  
  5998. * OPA134 operational amplifier "macromodel" subcircuit
  5999. * This model can also be used for OPA2134 (dual op amp)
  6000. * created using Parts release 6.2i on 02/23/96 at 08:48
  6001. * Parts is a MicroSim product.
  6002. * REV. A SB 7/20/96
  6003. * adapted from OPA132 model 9/24/96 BCT
  6004. *
  6005. * connections: non-inverting input
  6006. * | inverting input
  6007. * | | positive power supply
  6008. * | | | negative power supply
  6009. * | | | | output
  6010. * | | | | |
  6011. .SUBCKT OPA134 1 2 3 4 5
  6012. *
  6013. C1 11 12 3.240p
  6014. C2 6 7 8.000p
  6015. CSS 10 99 1.000E-30
  6016. DC 5 53 DX
  6017. DE 54 5 DX
  6018. DLP 90 91 DX
  6019. DLN 92 90 DX
  6020. DP 4 3 DX
  6021. BEGND 99 0 V=0.5*(V(3)+V(4))
  6022. BFB 7 99 I=I(VB)*248.0E6 +(-I(VC)+I(VE)+I(VLP)-I(VLN))*250E6
  6023. GA 6 0 11 12 402.0E-6
  6024. GCM 0 6 10 99 4.020E-9
  6025. ISS 3 10 DC 160.0E-6
  6026. HLIM 90 0 VLIM 1E3
  6027. J1 11 2 10 JX
  6028. J2 12 1 10 JX
  6029. R2 6 9 100.0E3
  6030. RD1 4 11 2.490E3
  6031. RD2 4 12 2.490E3
  6032. RO1 8 5 20
  6033. RO2 7 99 20
  6034. RP 3 4 7.500E3
  6035. RSS 10 99 1.250E6
  6036. VB 9 0 DC 0
  6037. VC 3 53 DC 1.200
  6038. VE 54 4 DC .9
  6039. VLIM 7 8 DC 0
  6040. VLP 91 0 DC 40
  6041. VLN 0 92 DC 40
  6042. .MODEL DX D(IS=800.0E-18)
  6043. .MODEL JX PJF(IS=2.500f BETA=1.010E-3 VTO=-1)
  6044. .ENDS
  6045.  
  6046. .Subckt OPA2134_my 3 2 5 6 1 7 8 4
  6047. X1 3 2 8 4 1 opa134
  6048. X2 5 6 8 4 7 opa134
  6049. .ends
  6050.  
  6051. .Subckt OPA2342_my 3 2 5 6 1 7 8 4
  6052. X1 3 2 8 4 1 OPA342
  6053. X2 5 6 8 4 7 OPA342
  6054. .ends
  6055.  
  6056. .Subckt OPA2354 3 2 5 6 1 7 8 4
  6057. X1 3 2 8 4 1 opa354
  6058. X2 5 6 8 4 7 opa354
  6059. .ends
  6060.  
  6061. *-----------------------------------------------------------------------
  6062. * OPA655 Wideband, Unity Gain Stable, FET-Input, VFB Op Amp
  6063. * created 05/28/96 sb
  6064. * Rev.A 07/20/96 bb
  6065. * Rev.B 05/05/00 kb
  6066. * 1- Uses a multiple pole-zero topology
  6067. * 2- For better convergence during transient analysis set:
  6068. * .OPTIONS ITL4=40
  6069. * 3- This macromodel predicts room temperature performance
  6070. * (T=27 deg C) for: DC, small-signal AC, noise, and
  6071. * transient simulations
  6072. * 4- This macromodel does not predict: distortion
  6073. * (harmonic, intermod, diff. gain & phase, ...),
  6074. * temperature effects, board parasitics, differences
  6075. * between package styles, and process changes
  6076. * CONNECTIONS:
  6077. * Non-Inverting Input
  6078. * | Inverting Input
  6079. * | | Positive Power Supply
  6080. * | | | Negative Power Supply
  6081. * | | | | Output
  6082. * | | | | |
  6083. .SUBCKT OPA655 3 2 7 4 6
  6084. *
  6085. * Package Parasitics
  6086. C2 2 0 1P
  6087. C3 3 0 1P
  6088. C4 4 0 1P
  6089. C6 6 0 1P
  6090. C7 7 0 1P
  6091. *
  6092. * Input Stage
  6093. V10 7 10 DC 0.2
  6094. R10 10 11 39.5
  6095. R11 10 12 39.5
  6096. C10 11 12 4.15P
  6097. *;2nd POLE at 485MHz
  6098. J10 11 3 14 JX
  6099. J11 12 13 14 JX
  6100. I12 14 4 DC 10.0M
  6101. V12 15 4 DC 4.54
  6102. D12 15 14 DX
  6103. G10 3 0 POLY(2) 22 0 23 0 -1P 1U 1U
  6104. E11 13 2 POLY(2) 20 0 21 0 0.5M 1 1
  6105. G11 2 0 POLY(2) 24 0 25 0 1P 1U 1U
  6106. *
  6107. * Noise Sources
  6108. I20 21 20 DC 12.0U
  6109. D20 20 0 DN1
  6110. D21 0 21 DN1
  6111. I22 23 22 DC 220U
  6112. D22 22 0 DN2
  6113. D23 0 23 DN2
  6114. I24 25 24 DC 220U
  6115. D24 24 0 DN3
  6116. D25 0 25 DN3
  6117. *
  6118. * Supply Current
  6119. I26 7 4 DC 13.8M
  6120. *
  6121. * Second Stage
  6122. G30 0 30 POLY(1) 12 11 0 25.4M
  6123. R30 30 0 19.8K
  6124. *;1st POLE at 259kHz
  6125. C30 30 0 31.0P
  6126. *;SR=290V/us
  6127. E31 31 0 POLY(1) 7 4 -2.23 0.5
  6128. E32 32 0 POLY(1) 4 7 +2.23 0.5
  6129. D31 30 31 DX
  6130. D32 32 30 DX
  6131. *
  6132. * Third Stage
  6133. G40 0 40 POLY(1) 30 0 0 1U
  6134. R40 40 0 1MEG
  6135. C40 40 0 0.127F
  6136. *;3rd POLE at 1.25GHz
  6137. * Output Stage
  6138. R50 7 50 5.0
  6139. R51 54 4 9.0
  6140. E50 50 51 POLY(2) 0 40 7 4 -691M 1 0.5
  6141. E51 53 54 POLY(2) 40 0 7 4 -691M 1 0.5
  6142. D50 51 52 DX
  6143. D51 52 53 DX
  6144. L50 52 6 3N
  6145. .MODEL DN1 D(IS=1F AF=1.0 KF=0.5F)
  6146. .MODEL DN2 D(IS=1F AF=1.0 KF=0.1F)
  6147. .MODEL DN3 D(IS=1F AF=1.0 KF=0.1F)
  6148. .MODEL DX D(IS=1F)
  6149. .MODEL JX NJF(BETA=162M VTO=-1.55 IS=2.5P CGD=500F)
  6150. .ENDS OPA655
  6151.  
  6152. * OPA657 Non-Unity Gain Stable, FET Voltage Limiting Amplifier
  6153. * REV. A - Created 1/21/02 Rea Schmid
  6154. * REV. B - Created 2/26/02 Rea Schmid - Purpose to adjust voltage and noise curves
  6155. * REV. C - Created 6/27/06 Xavier Ramus - to correct input stage oscillation
  6156. * REV. D - Created 10/23/06 Xavier Ramus - To correct Noise
  6157. * REV. E - Created 11/22/06 Xavier Ramus - To correct behavior in transimpedance applications
  6158. *
  6159. *
  6160. * NOTES:
  6161. * 1- This macromodel predicts well: DC, small-signal AC,
  6162. * noise, and transient performance under a wide range
  6163. * of conditions
  6164. * 2- This macromodel does not predict well: distortion
  6165. * (harmonic, intermod, diff. gain & phase, ...),
  6166. * temperature effects, board parasitics, differences
  6167. * between package styles, and process changes
  6168. * 3 - Known Problems: - none
  6169. *
  6170. * |-------------------------------------------------------------|
  6171. * | This macro model is being supplied as an aid to |
  6172. * | circuit designs. While it reflects reasonably close |
  6173. * | similarity to the actual device in terms of performance, |
  6174. * | it is not suggested as a replacement for breadboarding. |
  6175. * | Simulation should be used as a forerunner or a supplement |
  6176. * | to traditional lab testing. | |
  6177. * | Neither this library nor any part may be copied without |
  6178. * | the express written consent of Texas Instruments Corp. |
  6179. * CONNECTIONS:
  6180. * Non-Inverting Input
  6181. * | Inverting Input
  6182. * | | Output
  6183. * | | | Positive Supply
  6184. * | | | | Negative Supply
  6185. * | | | | |
  6186. * | | | | |
  6187. * | | | | |
  6188. .SUBCKT OPA657 + - Out V+ V-
  6189. .PARAM x2=0.25
  6190. .PARAM x4=0.5
  6191. .PARAM x8=1
  6192. .PARAM x10={x2*5}
  6193. .PARAM x16=2
  6194. .PARAM x24=3
  6195. .PARAM x64=8
  6196. .PARAM xFET=3
  6197.  
  6198. R_R13 $N_0001 V+ 520
  6199. I_I2 $N_0002 $N_0003 DC 580u
  6200. R_R11 $N_0004 V+ 195
  6201. R_R7 $N_0006 $N_0005 4
  6202. L_L2 Out $N_0005 1nH
  6203. C_C7 $N_0005 0 45p
  6204. R_R5 V- $N_0007 100
  6205. R_R6 V- $N_0008 100
  6206. R_R12 V- $N_0009 150
  6207. R_R14 V- $N_0010 520
  6208. I_I1 $N_0011 $N_0012 DC 513.33uA
  6209. R_R9 $N_0014 $N_0013 22.5
  6210. R_R10 $N_0016 $N_0015 22.5
  6211. I_I3 $N_0017 $N_0018 DC 722.3u
  6212. X_F7 $N_0018 $N_0019 $N_0014 V- OPA657schm_F7
  6213. X_F8 $N_0019 V- $N_0016 V- OPA657schm_F8
  6214. X_F10 $N_0020 $N_0017 V+ $N_0021 OPA657schm_F10
  6215. X_F9 V+ $N_0020 V+ $N_0022 OPA657schm_F9
  6216. Q_Q5 $N_0024 $N_0023 $N_0025 PNP8 {x64}
  6217. Q_Q9 $N_0011 $N_0011 $N_0026 PNP8 {x2}
  6218. Q_Q14 $N_0023 $N_0027 $N_0028 NPN8 {x4}
  6219. Q_Q12 $N_0029 $N_0029 $N_0027 NPN8 {x4}
  6220. Q_Q11 $N_0023 $N_0011 $N_0030 PNP8 {x4}
  6221. Q_Q10 $N_0029 $N_0011 $N_0031 PNP8 {x4}
  6222. Q_Q3 V+ $N_0031 $N_0032 NPN8 {x24}
  6223. Q_Q7 V+ $N_0025 $N_0006 NPN8 {x64*2}
  6224. Q_Q19 $N_0002 $N_0002 $N_0001 PNP8 {x2}
  6225. Q_Q21 $N_0003 $N_0003 $N_0010 NPN8 {x2}
  6226. Q_Q13 $N_0028 $N_0028 $N_0008 NPN8 {x10}
  6227. Q_Q15 $N_0027 $N_0028 $N_0007 NPN8 {x10}
  6228. Q_Q6 $N_0032 $N_0023 $N_0033 NPN8 {x64*1.2}
  6229. Q_Q20 $N_0033 $N_0003 $N_0009 NPN8 {x8/8*8}
  6230. Q_Q18 $N_0025 $N_0002 $N_0004 PNP8 {x2*2.0}
  6231. Q_Q4 V- $N_0007 $N_0024 PNP8 {x24}
  6232. Q_Q22 V- $N_0033 $N_0006 PNP8 {x64*5}
  6233. X_F1 $N_0012 V- $N_0034 V- OPA657schm_F1
  6234. J_J29 $N_0021 + $N_0013 JX6_3 {xFET}
  6235. J_J30 $N_0022 - $N_0015 JX6_3 {xFET}
  6236. R_R3 $N_0031 V+ 600
  6237. R_R4 $N_0030 V+ 600
  6238. R_R8 $N_0026 V+ 2.8k
  6239. Q_Q24 V- $N_0016 $N_0022 PNP8 1
  6240. Q_Q2 $N_0030 $N_0016 $N_0034 NPN8 {x16}
  6241. Q_Q1 $N_0031 $N_0014 $N_0034 NPN8 {x16}
  6242. Q_Q23 V- $N_0014 $N_0021 PNP8 1
  6243. C_C6 V- $N_0023 1.1p
  6244.  
  6245. .MODEL NPN8 NPN
  6246. + IS=7.604E-18 BF=1.570E+02 NF=1.000E+00 VAF= 7.871E+01
  6247. + IKF= 3.975E-02 ISE= 3.219E-14 NE=2.000E+00 BR=7.614E-01
  6248. + NR=1.000E+00 VAR= 1.452E+00 IKR= 8.172E-02 ISC= 7.618E-21
  6249. + NC=1.847E+00 RB=1.060E+02 IRB= 0.000E+00 RBM= 2.400E+00
  6250. + RE=2.520E+00 RC=1.270E+02 CJE= 1.120E-13 VJE= 7.591E-01
  6251. + MJE= 5.406E-01 TF=1.213E-11 XTF= 2.049E+00 VTF= 1.813E+00
  6252. + ITF= 4.293E-02 PTF= 0.000E+00 CJC= 8.208E-14 VJC= 6.666E-01
  6253. + MJC= 4.509E-01 XCJC=8.450E-02 TR=4.000E-11 CJS= 1.160E-13
  6254. + VJS= 5.286E-01 MJS= 4.389E-01 XTB= 1.022E+00 EG=1.120E+00
  6255. + XTI= 1.780E+00 KF=3.500E-16 AF=1.000E+00 FC=8.273E-01
  6256.  
  6257.  
  6258. .MODEL PNP8 PNP
  6259. + IS=7.999E-18 BF=1.418E+02 NF=1.000E+00 VAF= 4.158E+01
  6260. + IKF= 1.085E-01 ISE= 2.233f NE=1.505E+00 BR=3.252E+01
  6261. + NR=1.050E+00 VAR= 1.093E+00 IKR= 5.000E-05 ISC= 6.621E-16
  6262. + NC=1.150E+00 RB=6.246E+01 IRB= 0.000E+00 RBM= 2.240E+00
  6263. + RE=2.537E+00 RC=1.260E+02 CJE= 9.502E-14 VJE= 7.320E-01
  6264. + MJE= 4.930E-01 TF=1.303E-11 XTF= 3.500E+01 VTF= 3.259E+00
  6265. + ITF= 2.639E-01 PTF= 0.000E+00 CJC= 1.080E-13 VJC= 7.743E-01
  6266. + MJC= 5.000E-01 XCJC=8.504E-02 TR=1.500E-10 CJS= 1.290E-13
  6267. + VJS= 9.058E-01 MJS= 4.931E-01 XTB= 1.732E+00 EG=1.120E+00
  6268. + XTI= 2.000E+00 KF=3.500E-16 AF=1.000E+00 FC=8.500E-01
  6269.  
  6270. .MODEL JX6_3 NJF
  6271. + BETA=0.35e-3 RD=13.3 RS=13.299 BETATCE=-1.994 LAMBDA=28.67E-9 ISR=0 NR=2 IS=5.956f N=1 XTI=3
  6272. + ALPHA=2.7155E-9 VK=1 CGD=2.025p M=0.57 PB=1 FC=0.5 CGS=11.7E-13 AF=1 KF=0
  6273. + VTOTC=0.0025 VTO=-2.85
  6274.  
  6275. .subckt OPA657schm_F7 1 2 3 4
  6276. F_F7 3 4 VF_F7 1.00165517241
  6277. VF_F7 1 2 0V
  6278. .ends OPA657schm_F7
  6279.  
  6280. .subckt OPA657schm_F8 1 2 3 4
  6281. F_F8 3 4 VF_F8 1.00165517241
  6282. VF_F8 1 2 0V
  6283. .ends OPA657schm_F8
  6284.  
  6285. .subckt OPA657schm_F10 1 2 3 4
  6286. F_F10 3 4 VF_F10 1.33600996816
  6287. VF_F10 1 2 0V
  6288. .ends OPA657schm_F10
  6289.  
  6290. .subckt OPA657schm_F9 1 2 3 4
  6291. F_F9 3 4 VF_F9 1.33600996816
  6292. VF_F9 1 2 0V
  6293. .ends OPA657schm_F9
  6294.  
  6295. .subckt OPA657schm_F1 1 2 3 4
  6296. F_F1 3 4 VF_F1 4
  6297. VF_F1 1 2 0V
  6298. .ends OPA657schm_F1
  6299. .ENDS OPA657
  6300.  
  6301. *-----------------------------------------------------------------------
  6302. * OPA656 Wideband, Unity Gain Stable, FET-Input, VFB Op Amp
  6303. * created 12/27/01 RS
  6304. * Revision 1/16/02 RS Input saturation
  6305. * Rev 6/12/02 RS Adj for Trimed offset & Output Drive Current
  6306. *
  6307. * NOTES:
  6308. * 1- Uses a multiple pole-zero topology
  6309. * 2- For better convergence during transient analysis set:
  6310. * .OPTIONS ITL4=40
  6311. * 3- This macromodel predicts room temperature performance
  6312. * (T=27 deg C) for: DC, small-signal AC, noise, and
  6313. * transient simulations
  6314. * 4- This macromodel does not predict: distortion
  6315. * (harmonic, intermod, diff. gain & phase, ...),
  6316. * temperature effects, board parasitics, differences
  6317. * between package styles, and process changes
  6318. * 5- Revision to correct Pinch-off and input limiting.
  6319. *
  6320. * |---------------------------------------------------------------|
  6321. * | This macro model is being supplied as an aid to |
  6322. * | circuit designs. While it reflects reasonably close |
  6323. * | similarity to the actual device in terms of performance, |
  6324. * | it is not suggested as a replacement for breadboarding. |
  6325. * | Simulation should be used as a forerunner or a supplement |
  6326. * | to traditional lab testing. |
  6327. * | |
  6328. * | Neither this library nor any part may be copied without |
  6329. * | the express written consent of Texas Instruments Corporation.|
  6330. * |---------------------------------------------------------------|
  6331. *
  6332. * CONNECTIONS:
  6333. * Non-Inverting Input
  6334. * | Inverting Input
  6335. * | | Positive Power Supply
  6336. * | | | Negative Power Supply
  6337. * | | | | Output
  6338. * | | | | |
  6339. .SUBCKT OPA656 + - V+ V- Out
  6340. C_C4 V- 0 1P
  6341. C_C2 0 V+ 1P
  6342. R_R40 16 0 1MEG
  6343. G_G40 0 16 POLY(1) 15 0 0 1U 0 0
  6344. V_V12 14 V- DC 4.54
  6345. R_R51 V- 23 9.0
  6346. R_R50 19 V+ 5
  6347. R_R30 15 0 87.92K
  6348. D_D32 18 16 DX 1
  6349. D_D31 16 17 DX 1
  6350. C_C6 Out 0 1P
  6351. D_D12 14 12 DX 1
  6352. R_R10 10 V+ 750
  6353. R_R11 11 V+ 750
  6354. Q_Q1 V+ 20 Out NPN8 8
  6355. Q_Q2 V- 22 Out PNP8 8
  6356. Q_Q4 21 21 20 PNP8 .5
  6357. Q_Q3 21 21 22 NPN8 .5
  6358. C_C30 0 15 10.54P
  6359. C_C40 16 0 0.079f
  6360. E_E50 19 20 POLY(2) 0 16 V+ V- -815M 1 0.5
  6361. E_E51 22 23 POLY(2) 16 0 V+ V- -815M 1 0.5
  6362. E_E31 17 0 POLY(1) V+ V- -1.7 0.5 0 0
  6363. E_E32 18 0 POLY(1) V- V+ 1.7 0.5 0 0
  6364. I_I12 12 V- DC 9.8M
  6365. G_G1 0 15 POLY(1) 11 10 0 25.225M 0 0
  6366. J_J10 10 + 12 JX .5
  6367. L_L2 2 - 3.96nH
  6368. J_J11 11 2 12 JX .50001505
  6369.  
  6370. *
  6371. .MODEL DN1 D( IS=.1F AF=1.0 KF=49.0E-17)
  6372. .MODEL DX D( IS=1.0000f)
  6373. *
  6374. .MODEL NPN8 NPN
  6375. + IS=7.604E-18 BF=1.570E+02 NF=1.000E+00 VAF= 7.871E+01
  6376. + IKF= 3.975E-02 ISE= 3.219E-14 NE=2.000E+00 BR=7.614E-01
  6377. + NR=1.000E+00 VAR= 1.452E+00 IKR= 8.172E-02 ISC= 7.618E-21
  6378. + NC=1.847E+00 RB=1.060E+02 IRB= 0.000E+00 RBM= 2.400E+00
  6379. + RE=2.520E+00 RC=1.270E+02 CJE= 1.120E-13 VJE= 7.591E-01
  6380. + MJE= 5.406E-01 TF=1.213E-11 XTF= 2.049E+00 VTF= 1.813E+00
  6381. + ITF= 4.293E-02 PTF= 0.000E+00 CJC= 8.208E-14 VJC= 6.666E-01
  6382. + MJC= 4.509E-01 XCJC=8.450E-02 TR=4.000E-11 CJS= 1.160E-13
  6383. + VJS= 5.286E-01 MJS= 4.389E-01 XTB= 1.022E+00 EG=1.120E+00
  6384. + XTI= 1.780E+00 KF=3.500E-16 AF=1.000E+00 FC=8.273E-01
  6385. *
  6386. .MODEL PNP8 PNP
  6387. + IS=7.999E-18 BF=1.418E+02 NF=1.000E+00 VAF= 4.158E+01
  6388. + IKF= 1.085E-01 ISE= 2.233f NE=1.505E+00 BR=3.252E+01
  6389. + NR=1.050E+00 VAR= 1.093E+00 IKR= 5.000E-05 ISC= 6.621E-16
  6390. + NC=1.150E+00 RB=6.246E+01 IRB= 0.000E+00 RBM= 2.240E+00
  6391. + RE=2.537E+00 RC=1.260E+02 CJE= 9.502E-14 VJE= 7.320E-01
  6392. + MJE= 4.930E-01 TF=1.303E-11 XTF= 3.500E+01 VTF= 3.259E+00
  6393. + ITF= 2.639E-01 PTF= 0.000E+00 CJC= 1.080E-13 VJC= 7.743E-01
  6394. + MJC= 5.000E-01 XCJC=8.504E-02 TR=1.500E-10 CJS= 1.290E-13
  6395. + VJS= 9.058E-01 MJS= 4.931E-01 XTB= 1.732E+00 EG=1.120E+00
  6396. + XTI= 2.000E+00 KF=3.500E-16 AF=1.000E+00 FC=8.500E-01
  6397. *
  6398. .MODEL JX NJF(BETA=2.78E-3 LAMBDA=28.67E-6 IS=5.956f
  6399. +ALPHA=2.7155 VK=1 RD=13.3 RS=13.299 CGD=1.032p CGS=2.823p
  6400. +AF=1 KF=21.75E-18 BETATCE=-1.994 VTOTC=-2.5000E-3)
  6401. .ENDS OPA656
  6402.  
  6403. * Node assignments
  6404. * non-inverting input
  6405. * | inverting input
  6406. * | | positive supply
  6407. * | | | negative supply
  6408. * | | | | output
  6409. * | | | | |
  6410. .SUBCKT AD820AR 1 2 99 50 25
  6411. *
  6412. * INPUT STAGE & POLE AT 5MHZ
  6413. *
  6414. R3 5 99 2456
  6415. R4 6 99 2456
  6416. CIN 1 2 5p
  6417. C2 5 6 6.48p
  6418. I1 4 50 108E-6
  6419. IOS 1 2 1E-11
  6420. EOS 7 1 POLY(1) (12,98) 800E-6 2.41
  6421. J1 5 2 4 JX
  6422. J2 6 7 4 JX
  6423. GB1 50 2 POLY(3) (2,4) (2,5) (2,50) 0 1p 1p 1p
  6424. GB2 50 7 POLY(3) (7,4) (7,5) (7,50) 0 1p 1p 1p
  6425. *
  6426. EREF 98 0 (30,0) 1
  6427. *
  6428. * GAIN STAGE & POLE AT 25 HZ
  6429. *
  6430. R5 9 98 1.234E6
  6431. C3 9 25 32p
  6432. G1 98 9 (6,5) 4.07E-4
  6433. V1 8 98 0
  6434. V2 98 10 -1
  6435. D1 9 10 DX
  6436. D2 8 9 DX
  6437. *
  6438. * COMMON-MODE GAIN NETWORK WITH ZERO AT 5 KHZ
  6439. *
  6440. R21 11 12 1E6
  6441. R22 12 98 200
  6442. C14 11 12 32.25p
  6443. E13 11 98 POLY(2) (2,98) (1,98) 0 0.5 0.5
  6444. *
  6445. * POLE AT 10 MHZ
  6446. *
  6447. R23 18 98 1E6
  6448. C15 18 98 15.9f
  6449. G15 98 18 (9,98) 1E-6
  6450. ES 26 51 POLY(1) (18,98) 1.72 1
  6451. RS 26 22 500
  6452. V3 23 51 1.03951
  6453. V4 21 23 1.36
  6454. C16 20 25 2p
  6455. C17 24 25 2p
  6456. RG1 20 97 1E8
  6457. RG2 24 97 1E8
  6458. Q1 20 20 97 PNP
  6459. Q2 20 21 22 NPN
  6460. Q3 24 23 22 PNP
  6461. Q4 24 24 51 NPN
  6462. Q5 25 20 97 PNP 20
  6463. Q6 25 24 51 NPN 20
  6464. VP 96 97 0
  6465. VN 51 52 0
  6466. EP 96 0 POLY(1) (99,0) 0.01 1
  6467. EN 52 0 POLY(1) (50,0) -0.015 1
  6468. R25 30 99 275E3
  6469. R26 30 50 275E3
  6470. FSY1 99 0 POLY(1) VP 210.5E-6 1
  6471. FSY2 0 50 POLY(1) VN 210.5E-6 1
  6472. .MODEL JX NJF(BETA=7.67E-4 VTO=-2.000 IS=12.5p)
  6473. .MODEL NPN NPN(BF=120 VAF=150 VAR=15 RB=2E3 RE=4 RC=200)
  6474. .MODEL PNP PNP(BF=120 VAF=150 VAR=15 RB=2E3 RE=4 RC=900)
  6475. .MODEL DX D(IS=1f)
  6476. .ENDS AD820AR
  6477.  
  6478. * TLV2221 OPERATIONAL AMPLIFIER "MACROMODEL" SUBCIRCUIT
  6479. * CREATED USING PARTS RELEASE 4.03 ON 06/17/96 AT 14:24
  6480. * REV(N/A) 3 VOLT MODEL
  6481. * CONNECTIONS: NON-INVERTING INPUT
  6482. * | INVERTING INPUT
  6483. * | | POSITIVE POWER SUPPLY
  6484. * | | | NEGATIVE POWER SUPPLY
  6485. * | | | | OUTPUT
  6486. * | | | | |
  6487. .SUBCKT TLV2221 1 2 3 4 5
  6488. *
  6489. C1 11 12 12.24p
  6490. C2 6 7 50.00p
  6491. DC 5 53 DX
  6492. DE 54 5 DX
  6493. DLP 90 91 DX
  6494. DLN 92 90 DX
  6495. DP 4 3 DX
  6496. EGND 99 0 POLY(2) (3,0) (4,0) 0 .5 .5
  6497. FB 7 99 POLY(5) VB VC VE VLP VLN 0 440.8E3 -80E3 80E3 80E3 -80E3
  6498. GA 6 0 11 12 78.54E-6
  6499. GCM 0 6 10 99 12.439E-9
  6500. ISS 3 10 DC 9.000E-6
  6501. HLIM 90 0 VLIM 1K
  6502. J1 11 2 10 JX
  6503. J2 12 1 10 JX
  6504. R2 6 9 100.0E3
  6505. RD1 60 11 12.73E3
  6506. RD2 60 12 12.73E3
  6507. RO1 8 5 45
  6508. RO2 7 99 45
  6509. RP 3 4 32.97E3
  6510. RSS 10 99 22.22E6
  6511. VAD 60 4 -.5
  6512. VB 9 0 DC 0
  6513. VC 3 53 DC .655
  6514. VE 54 4 DC .655
  6515. VLIM 7 8 DC 0
  6516. VLP 91 0 DC 2.4
  6517. VLN 0 92 DC 4.4
  6518. .MODEL DX D(IS=800.0E-18)
  6519. .MODEL JX PJF(IS=500f BETA=1.371m VTO=-.01)
  6520. .ENDS
  6521.  
  6522.  
  6523. .SUBCKT TLV226x 1 2 3 4 5
  6524. C1 11 12 5.5p
  6525. C2 6 7 20p
  6526. DC 5 53 DX
  6527. DE 54 5 DX
  6528. DLP 90 91 DX
  6529. DLN 92 90 DX
  6530. DP 4 3 DX
  6531. EGND 99 0 POLY (2) (3,0) (4,0) 0 .5 .5
  6532. FB 7 99 POLY (5) VB VC VE VLP
  6533. + VLN 0 8.84E6 ?10E6 10E6 10E6 ?10E6
  6534. GA 6 0 11 12 62.83u
  6535. GCM 0 6 10 99 12.34n
  6536. ISS 3 10 DC 11.05u
  6537. HLIM 90 0 VLIM 1K
  6538. J1 11 2 10 JX
  6539. J2 12 1 10 JX
  6540. R2 6 9 100.0E3
  6541. RD1 60 11 15.92k
  6542. RD2 60 12 15.92k
  6543. R01 8 5 135
  6544. R02 7 99 135
  6545. RP 3 4 15.87E3
  6546. RSS 10 99 18.18E6
  6547. VAD 4 60 0.5
  6548. VB 9 0 DC 0
  6549. VC 3 53 DC .615
  6550. VE 54 4 DC .615
  6551. VLIM 7 8 DC 0
  6552. VLP 91 0 DC 1
  6553. VLN 0 92 DC 5.1
  6554. .MODEL DX D (IS=0.8f)
  6555. .MODEL JX PJF IS=500f BETA=325u vto=-0.08
  6556. .ENDS
  6557.  
  6558. * OPA846 Wideband, Low Noise Voltage Feedback Op Amp
  6559. * Created 5/1/03
  6560. * NOTES:
  6561. * 1- Uses a multiple pole-zero topology
  6562. * 2- For better convergence during transient analysis set:
  6563. * .OPTIONS ITL4=40
  6564. * 3- This macromodel predicts room temperature performance
  6565. * (T=27 deg C) for: DC, small-signal AC, noise, and
  6566. * transient simulations
  6567. * 4- This macromodel does not predict: distortion
  6568. * (harmonic, intermod, diff. gain & phase, ...),
  6569. * temperature effects, board parasitics, differences
  6570. * between package styles, and process changes
  6571. * CONNECTIONS:
  6572. * Non-Inverting Input
  6573. * | Inverting Input
  6574. * | | Positive Power Supply
  6575. * | | | Negative Power Supply
  6576. * | | | | Output
  6577. * | | | | |
  6578. * | | | | |
  6579. .SUBCKT OPA846 i+ i- V+ V- Out
  6580. V_V1 V+ 10 DC 1.4
  6581. R_R10 11 10 200
  6582. R_R11 12 10 200
  6583. R_R12 15 13 5
  6584. R_R13 15 14 5
  6585. G_G1 i+ 0 POLY(2) 26 0 27 0 0 1m 1m
  6586. G_G3 0 17 POLY(1) 12 11 0 30.51M 0 0
  6587. G_G4 0 18 POLY(1) 17 0 0 1M 0 0
  6588. E_E1 19 0 POLY(1) V- V+ .9 0.5 0 0
  6589. E_E2 20 0 POLY(1) V+ V- -.9 0.5 0 0
  6590. E_E3 21 22 POLY(2) 0 18 V+ V- -785m 1 0.5
  6591. E_E4 24 25 POLY(2) 18 0 V+ V- -785m 1 0.5
  6592. D_D1 16 15 DX 1
  6593. D_D3 18 20 DX 1
  6594. D_D4 22 23 DX 1
  6595. D_D5 23 24 DX 1
  6596. D_D6 26 0 DN1 .5
  6597. D_D7 0 27 DN1 .5
  6598. G_G2 2 0 POLY(2) 28 0 29 0 0 1m 1m
  6599. I_I1 15 V- DC 1.475mA
  6600. V_V2 16 V- DC 1.2
  6601. I_I2 V+ V- DC 2.0mA
  6602. I_I3 27 26 DC 100uA
  6603. I_I4 29 28 DC 100uA
  6604. Q_Q1 11 i+ 13 NPN8 10
  6605. D_D2 19 18 DX 1
  6606. R_R14 17 0 503K
  6607. R_R15 18 0 1K
  6608. R_R16 21 V+ 45
  6609. R_R17 V- 25 45
  6610. R_R18 23 Out 5
  6611. C_C1 0 i- .35p
  6612. C_C2 17 0 7.95p
  6613. C_C3 0 18 80f
  6614. C_C4 V- Out 1p
  6615. L_L1 2 i- 2.35nH
  6616. Q_Q2 12 2 14 NPN8 10.049
  6617. D_D8 28 0 DN1 .5
  6618. D_D9 0 29 DN1 .5
  6619. .MODEL DN1 D(IS=2F AF=1 KF=10.5E-17)
  6620. .MODEL DX D(IS=1.0000f)
  6621. .MODEL NPN8 NPN
  6622. + IS=7.604E-18 BF=1.570E+02 NF=1.000E+00 VAF= 7.871E+01
  6623. + IKF= 3.975E-02 ISE= 3.219E-14 NE=2.000E+00 BR=7.614E-01
  6624. + NR=1.000E+00 VAR= 1.452E+00 IKR= 8.172E-02 ISC= 7.618E-21
  6625. + NC=1.847E+00 RB=1.060E+02 IRB= 0.000E+00 RBM= 2.400E+00
  6626. + RE=2.520E+00 RC=1.270E+02 CJE= 1.120E-13 VJE= 7.591E-01
  6627. + MJE= 5.406E-01 TF=1.213E-11 XTF= 2.049E+00 VTF= 1.813E+00
  6628. + ITF= 4.293E-02 PTF= 0.000E+00 CJC= 3.052E-14 VJC= 6.666E-01
  6629. + MJC= 4.509E-01 XCJC=8.450E-02 TR=4.000E-11 CJS= 1.160E-13
  6630. + VJS= 5.286E-01 MJS= 4.389E-01 XTB= 1.022E+00 EG=1.120E+00
  6631. + XTI= 1.780E+00 KF=24.5f AF=1.0 FC=8.273E-01
  6632. .ENDS
  6633.  
  6634. *
  6635. * The MAX9914 ultra low supply current opamp operates from a single
  6636. * +1.8 to +5.5V supply and features 1MHz GBW and Rail-to-Rail output
  6637. * and is unity gain stable.
  6638. .SUBCKT MAX9914 17 15 10 18 42
  6639. * 10=VCC
  6640. * 18=VEE
  6641. * 17=IN+
  6642. * 15=IN-
  6643. * 42=OUT
  6644. *INPUT STAGE
  6645. VS1 10 11 0V
  6646. FSUP 18 10 VS1 1
  6647. IBIAS 11 12 1.235U
  6648. M1 13 16 12 12 MOSP
  6649. M2 14 15 12 12 MOSP
  6650. VOS 17 A16 0.2M
  6651. ECMPSRR A16 16 RA 100 1
  6652. RD1 13 18 54.75K
  6653. RD2 14 18 54.75K
  6654. C1 13 14 0.72P
  6655. *INPUT BIAS CURRENT
  6656. IBIAS1 12 16 1P
  6657. IBIAS2 12 15 1P
  6658. *GAIN STAGE
  6659. GA1 100 A1 14 13 10U
  6660. RP1 A1 100 100K
  6661. CP1 A1 100 0.5P
  6662. GA 25 100 A1 100 1M
  6663. RO1 25 100 3.163K
  6664. GB 26 100 25 100 1
  6665. RO2 26 100 100K
  6666. EF 27 100 26 100 1
  6667. RLF 27 100 1MEG
  6668. CC 25 27 135.2P
  6669. *VOLTAGE LIMITING
  6670. DP1 26 151 DY
  6671. EP1 151 153 10 18 0.5
  6672. EP3 153 155 27 199 1
  6673. HCOMP1 100 155 VIS2 111.11
  6674. DP2 152 26 DY
  6675. EP2 154 152 10 18 0.5
  6676. EP4 156 154 199 26 1
  6677. HCOMP2 100 156 VIS2 111.11
  6678. *CMRR (CMRR DC RESPONSE)
  6679. RA1 RA 100 1
  6680. GCM RA 100 18 12 100U
  6681. *PSRR (PSRR DC RESPONSE)
  6682. GPS 100 RA 10 18 6U
  6683. *CURRENT LIMITING
  6684. RO3 27 199 162
  6685. D1 30 199 DY
  6686. D2 199 28 DY
  6687. D3 29 28 DY
  6688. D4 30 29 DY
  6689. ILIM1 28 30 15M
  6690. RILIM 28 30 1MEG
  6691. VIS2 29 42 0V
  6692. *INTERNAL GND AT VDD/2
  6693. EG1 100 18 10 18 0.5
  6694. *SUPPLY CURRENT MODEL
  6695. *BIAS CURRENT
  6696. ISUP 10 18 20U
  6697. *LOAD CURRENT
  6698. DSUP 18 10 DX
  6699. FIS1 100 60 VIS2 1
  6700. D17 100 60 DX
  6701. D18 60 61 DX
  6702. VIS3 100 61 0V
  6703. FSUP1 18 10 VIS3 1
  6704. *MAXIMUM INPUT COMMON MODE VOLTAGE LIMIT
  6705. DIL 12 80 DZ
  6706. RIL 80 82 100
  6707. VIL 82 81 0.8V
  6708. EIL 81 18 10 18 1
  6709. *MINIMUM SUPPLY VOLTAGE LIMIT
  6710. VVL 85 18 1.8V
  6711. VIS4 85 86 0V
  6712. RVL 86 87 30K
  6713. DVL3 87 88 DY
  6714. EVL 88 18 10 18 1
  6715. DVL4 18 12 DY
  6716. DVL5 12 84 DY
  6717. FVL 84 18 VIS4 4
  6718. .MODEL DA D(IS=100E-14 RS=0.5k)
  6719. .MODEL MOSP PMOS(VTO=-0.7 KP=268.9U)
  6720. .MODEL DX D(IS=100E-14)
  6721. .MODEL DZ D(N=10M)
  6722. .MODEL DY D(IS=100E-14 N=0.1M)
  6723. .ENDS
  6724.  
  6725.  
  6726.  
  6727. * connections: non-inverting input
  6728. * | inverting input
  6729. * | | positive power supply
  6730. * | | | negative power supply
  6731. * | | | | output
  6732. * | | | | |
  6733. * .subckt OPA336 1 2 3 4 5
  6734. *
  6735. * Note that node "4" may be connected to ground "0", i.e., single supply operation.
  6736. *
  6737. * 4) ADD .OPTION ITL=40 AND .OPTION GMIN=10p TO NET LIST IF SIMULATION DOES NOT
  6738. * CONVERGE
  6739. * 5) ADDING .NODESET STATEMENT (BELOW) TO NET LIST MAY HELP CONVERGENCE IS CASES
  6740. * WHERE V+=5V AND V-=0V ; SINGLE SUPPLY OPERATION. ASSUMES SUBCIRCUIT IS "U1".
  6741. *
  6742. * .NODESET
  6743. * +V(2)=2.5 V(1)=2.5 V(5)=2.5 V(3)=5.0
  6744. * +V(X_U1.20)= 3.8 V(X_U1.23)= 3.8 V(X_U1.25)= .834 V(X_U1.27)= .833 V(X_U1.29)= .834
  6745. * +V(X_U1.32)= 2.03 V(X_U1.34)= 2.03 V(X_U1.43)= 4.065 V(X_U1.44)= 2.51 V(X_U1.45)= 1.93
  6746. * +V(X_U1.47)= 1.93 V(X_U1.51)= .848 V(X_U1.53)= 4.07 V(X_U1.54)= 1.58 V(X_U1.55)= 4.02
  6747. * +V(X_U1.60)= 1.94 V(X_U1.62)= .855 V(X_U1.64)= 3.17 V(X_U1.67)= 4.98 V(X_U1.76)= 2.51
  6748. * +V(X_U1.GNDS)= 0.0 V(0)= 0.0
  6749. *
  6750. * connections: non-inverting input
  6751. * | inverting input
  6752. * | | positive power supply
  6753. * | | | negative power supply
  6754. * | | | | output
  6755. * | | | | |
  6756. .subckt OPA336 1 2 3 4 5
  6757. *
  6758. M61 4 64 55 55 PCH W=20U L=0.8U M=1
  6759. M59 55 53 3 3 PCH W=15U L=5U M=4
  6760. M55 55 60 51 GNDS NCH W=5U L=0.8U M=1
  6761. M53 53 45 51 GNDS NCH W=5U L=0.8U M=1
  6762. M57 53 53 3 3 PCH W=15U L=5U M=2
  6763. C55 55 60 CP1P2 2P
  6764. M67 55 55 67 3 PCH W=5U L=5U M=1
  6765. M74 45 51 62 GNDS NCH W=5U L=1U M=1
  6766. R67 3 67 RNW 200K
  6767. R47 45 47 RPO2 2K
  6768. ITAIL 3 23 DC 6U AC 0
  6769. ITAIL2 27 4 DC 1.6U AC 0
  6770. ITAIL3 51 4 DC 0.8U AC 0
  6771. I60 3 60 DC 0.4U AC 0
  6772. RGNDS GNDS 4 0.01
  6773. M24 29 1 23 3 PCH W=90U L=2U AD=2560P PD=3328U AS=2688P PS=3494U M=1
  6774. M26 29 27 4 GNDS NCH W=500U L=2U AD=1142P PD=1670U AS=1142P PS=1670U M=1
  6775. I20 20 4 DC 1U AC 0
  6776. R20 3 20 1.2MEG
  6777. M20 4 20 23 3 PCH W=5U L=2U M=1
  6778. R32 32 25 1.2MEG
  6779. R34 34 29 1.2MEG
  6780. I34 3 34 DC 1U AC 0
  6781. I32 3 32 DC 1U AC 0
  6782. V64 3 64 DC 1.8302
  6783. V60 60 62 DC 1.0897
  6784. V62 62 4 DC .8547
  6785. M23 25 2 23 3 PCH W=90U L=2U AD=2560P PD=3328U AS=2688P PS=3494U M=1
  6786. M47 43 43 3 3 PCH W=60U L=4U M=1
  6787. M43 43 34 27 GNDS NCH W=4U L=4U M=1
  6788. M45 45 32 27 GNDS NCH W=4U L=4U M=1
  6789. M73 76 51 4 GNDS NCH W=5U L=0.8U M=20
  6790. M25 25 27 4 GNDS NCH W=500U L=2U AD=1142P PD=1670U AS=1142P PS=1670U M=1
  6791. M71 76 55 3 3 PCH W=20U L=0.8U M=20
  6792. M49 45 43 3 3 PCH W=60U L=4U M=1
  6793. RC1 44 76 RPO2 10K
  6794. R76 76 5 RPO2 100
  6795. CM1 29 44 CP1P2 200P
  6796. C45 47 76 CP1P2 22P
  6797. RC2 54 4 RPO2 10K
  6798. CM2 25 54 CP1P2 200P
  6799. *
  6800. * MODELS for LEVEL 3 PSpice
  6801. .MODEL PCH PMOS (LEVEL=3 TOX=30E-9 CGDO=1.80e-10 CGSO=1.80e-10 CJ=7.199E-4 CJSW=3.40E-10
  6802. +AF=1.05 KF=1.0e-31 JS=4.0e-7 JSSW=3.0e-13 RSH=117 MJ=.47 MJSW=.16 PHI=0.71 VTO=-.892
  6803. +LD=12E-9 WD=43E-9 TPG=+1 GAMMA=0.6)
  6804. *
  6805. .MODEL NCH NMOS (LEVEL=3 TOX=30E-9 CGDO=1.55e-10 CGSO=1.55e-10 CJ=6.300E-4 CJSW=3.83E-10
  6806. +AF=1.05 KF=2.6e-31 JS=2.0e-7 JSSW=5e-13 RSH=68 MJ=.25 MJSW=.11 PHI=0.792 VTO=.81
  6807. +LD=34E-9 WD=17E-9 TPG=-1 GAMMA=0.6)
  6808. *
  6809. .MODEL RPO2 RES (R=1 TC1=6.3e-4 TC2= 1.1e-6)
  6810. .MODEL RNW RES (R=1 TC1=5.5e-3 TC2=-1.3e-5)
  6811. .MODEL CP1P2 CAP (C=1)
  6812. .ENDS
  6813.  
  6814.  
  6815. * Changed input transistor betas to conform to final data sheet
  6816. * Ios typical spec of 60nA.
  6817. *
  6818. * non-inverting input
  6819. * | inverting input
  6820. * | | positive supply
  6821. * | | | negative supply
  6822. * | | | | output
  6823. * | | | | |
  6824. .SUBCKT OP284 1 2 99 50 45
  6825. *
  6826. * INPUT STAGE
  6827. *
  6828. Q1 5 2 3 QIN 1
  6829. Q2 6 11 3 QIN 1
  6830. Q3 7 2 4 QIP 1
  6831. Q4 8 11 4 QIP 1
  6832. DC1 2 11 DC
  6833. DC2 11 2 DC
  6834. Q5 4 9 99 QIP 1
  6835. Q6 9 9 99 QIP 1
  6836. Q7 3 10 50 QIN 1
  6837. Q8 10 10 50 QIN 1
  6838. R1 99 5 4E3
  6839. R2 99 6 4E3
  6840. R3 7 50 4E3
  6841. R4 8 50 4E3
  6842. IREF 9 10 50.5E-6
  6843. EOS 1 11 POLY(2) (22,98) (14,98) -25E-6 1E-2 1
  6844. IOS 2 1 5E-9
  6845. CIN 1 2 2p
  6846. GN1 98 1 (17,98) 1E-3
  6847. GN2 98 2 (23,98) 1E-3
  6848. *
  6849. * VOLTAGE NOISE SOURCE WITH FLICKER NOISE
  6850. *
  6851. VN1 13 98 DC 2
  6852. VN2 98 15 DC 2
  6853. DN1 13 14 DEN
  6854. DN2 14 15 DEN
  6855. *
  6856. * CURRENT NOISE SOURCE WITH FLICKER NOISE
  6857. *
  6858. VN3 16 98 DC 2
  6859. VN4 98 18 DC 2
  6860. DN3 16 17 DIN
  6861. DN4 17 18 DIN
  6862. *
  6863. * 2ND CURRENT NOISE SOURCE WITH FLICKER NOISE
  6864. *
  6865. VN5 19 98 DC 2
  6866. VN6 98 24 DC 2
  6867. DN5 19 23 DIN
  6868. DN6 23 24 DIN
  6869. *
  6870. * GAIN STAGE
  6871. *
  6872. EREF 98 0 POLY(2) (99,0) (50,0) 0 0.5 0.5
  6873. G1 98 20 POLY(2) (6,5) (8,7) 0 0.5E-3 0.5E-3
  6874. R9 20 98 1E3
  6875. *
  6876. * COMMON MODE STAGE WITH ZERO AT 100HZ
  6877. *
  6878. ECM 98 21 POLY(2) (1,98) (2,98) 0 0.5 0.5
  6879. R10 21 22 1
  6880. R11 22 98 100E-6
  6881. C4 21 22 1.592E-3
  6882. *
  6883. * NEGATIVE ZERO AT 20MHZ
  6884. *
  6885. E1 27 98 (20,98) 1E6
  6886. R17 27 28 1
  6887. R18 28 98 1E-6
  6888. C8 25 26 7.958E-9
  6889. ENZ 25 98 (27,28) 1
  6890. VNZ 26 98 DC 0
  6891. FNZ 27 28 VNZ -1
  6892. *
  6893. * POLE AT 40MHZ
  6894. *
  6895. G4 98 29 (28,98) 1
  6896. R19 29 98 1
  6897. C9 29 98 3.979E-9
  6898. *
  6899. * POLE AT 40MHZ
  6900. *
  6901. G5 98 30 (29,98) 1
  6902. R20 30 98 1
  6903. C10 30 98 3.979E-9
  6904. *
  6905. * OUTUT STAGE
  6906. *
  6907. ISY 99 50 0.276E-3
  6908. GIN 50 31 POLY(1) (30,98) .862574E-6 505.879E-6
  6909. RIN 31 50 2.75E6
  6910. VB 99 32 0.7
  6911. Q11 32 31 33 QON 1
  6912. R21 33 34 4.5E3
  6913. I1 34 50 50E-6
  6914. R22 99 35 6E3
  6915. Q12 36 36 35 QOP 1
  6916. I2 36 50 50E-6
  6917. R23 99 37 2.6E3
  6918. R24 34 38 5E3
  6919. Q13 39 36 37 QOP 1
  6920. Q14 39 38 40 QON 1.5
  6921. R25 40 50 40
  6922. Q15 39 39 41 QON 1
  6923. R26 41 42 1E3
  6924. R27 99 43 220
  6925. Q16 44 44 43 QOP 1.5
  6926. Q17 44 39 42 QON 1
  6927. R28 42 50 2E3
  6928. VSCP 99 97 DC 0
  6929. FSCP 46 99 VSCP 1
  6930. RSCP 46 99 40
  6931. Q20 44 46 99 QOP 1
  6932. Q18 45 44 97 QOP 4.5
  6933. Q19 45 34 51 QON 4.5
  6934. VSCN 51 50 DC 0
  6935. FSCN 50 47 VSCN 1
  6936. RSCN 47 50 40
  6937. Q21 34 47 50 QON 1
  6938. CC2 31 45 20p
  6939. CF1 31 34 15p
  6940. CF2 31 42 15p
  6941. CO1 34 45 15p
  6942. CO2 42 45 5p
  6943. D3 45 99 DX
  6944. D4 50 45 DX
  6945. .MODEL DC D(IS=130E-21)
  6946. .MODEL DX D()
  6947. .MODEL DEN D(RS=100 KF=12f AF=1)
  6948. .MODEL DIN D(RS=5.358 KF=56f AF=1)
  6949. .MODEL QIN NPN(BF=120 VA=200 IS=0.5E-16)
  6950. .MODEL QIP PNP(BF=90 VA=60 IS=0.5E-16)
  6951. .MODEL QON NPN(BF=200 VA=200 IS=0.5E-16 RC=50)
  6952. .MODEL QOP PNP(BF=200 VA=200 IS=0.5E-16 RC=160)
  6953. .ENDS OP284
  6954.  
  6955. * ADA4817 Spice Model Rev. B, 7/2008 CK
  6956. * Refer to "README.DOC" file for License Statement.
  6957. * Use of this model indicates your acceptance with
  6958. * the terms and provisions in the License Statement.
  6959. * The following parameters are accurately modeled;
  6960. *
  6961. * FET Input common mode range
  6962. * Bandwidth 960 MHz
  6963. * Voltage noise ~ 4nV/rtHz
  6964. * Slew Rate ~ 840V/us
  6965. * Input Capacitance Cm ~1.3pF and Dm ~0.1pF
  6966. * Vos is static and will not vary ~ 1.5 mV
  6967. * Distortion is not characterized
  6968. * Output Swing is not characterized. (it's currently Rail to Rail)
  6969. *
  6970. * Node assignments
  6971. * non-inverting input
  6972. * | inverting input
  6973. * | | positive supply
  6974. * | | | negative supply
  6975. * | | | | output
  6976. * | | | | |
  6977. .SUBCKT ADA4817-1 1 2 99 50 45
  6978. * FET INPUT STAGE
  6979. Eos 9 2 poly(1) 100 98 4.2m 1
  6980. Cd 1 2 0.1p
  6981. Ccm1 1 0 1.5p
  6982. Ccm2 2 0 1.5p
  6983. J1 5 1 4 pmod
  6984. J2 6 9 4 pmod
  6985. Ib1 1 0 2p
  6986. Ib2 9 0 2p
  6987. R3 50 5 rnoise 1
  6988. R4 50 6 rnoise 1
  6989. I11 99 4 1m
  6990. Ccap 45 2 0.8p
  6991. * COMMON-MODE GAIN NETW0RK
  6992. *Ecm 80 98 POLY(2) 2 98 1 98 0 .5 .5
  6993. * GAIN STAGE & POLE AT 130 kHz
  6994. Ecc 97 0 99 0 1
  6995. Ess 52 0 50 0 1
  6996. Eref 98 0 POLY(2) 99 0 50 0 0 .5 .5
  6997. G1 13 98 5 6 0.045e3
  6998. R7 13 98 Rnoise 255e3
  6999. C3 13 98 30p
  7000. V1 97 14 2.25
  7001. V2 16 52 2.25
  7002. D1 13 14 DX
  7003. D2 16 13 DX
  7004. * POLE AT 1 GHz
  7005. G2 98 43 13 98 1
  7006. R10 98 43 rnoise 1
  7007. C5 98 43 112p
  7008. * POLE AT 1.3 GHz
  7009. G3 98 53 43 98 1
  7010. R11 98 53 rnoise 1
  7011. C6 98 53 112p
  7012. *POLE AT 130 GHz
  7013. *G4 98 63 53 98 1
  7014. *R12 98 63 rnoise 1
  7015. *C7 98 63 0.122p
  7016. * BUFFER STAGE
  7017. Gbuf 98 81 53 98 1e-2
  7018. Rbuf 81 98 Rnoise 100
  7019. * OUTPUT STAGE
  7020. Vo1 99 90 0
  7021. Vo2 51 50 0
  7022. R18 25 90 rnoise .02
  7023. R19 25 51 rnoise .02
  7024. *D100 45 250 Dx
  7025. *D101 250 45 Dx
  7026. Vcd 25 45 0
  7027. G6 25 90 99 81 50
  7028. G7 51 25 81 50 50
  7029. V4 26 25 -0.8355
  7030. V5 25 27 -0.8355
  7031. D5 81 26 Dx
  7032. D6 27 81 DX
  7033. Fo1 98 70 vcd 1
  7034. D7 70 71 DX
  7035. D8 72 70 DX
  7036. vi1 71 98 0
  7037. Vi2 98 72 0
  7038. Erefq 96 0 45 0 1
  7039. Iq 99 50 -0.1017
  7040. Fq1 96 99 POLY(2) Vo1 Vi1 0 1 -1
  7041. Fq2 50 96 POLY(2) Vo2 Vi2 0 1 -1
  7042. ****** Voltage noise stage
  7043. rnoise1 39 98 5.5e-4
  7044. vnoise1 39 98 0
  7045. vnoise2 101 98 0.75
  7046. dnoise1 101 39 dn
  7047. fnoise1 100 98 vnoise1 1
  7048. rnoise2 100 98 1
  7049. .model Rnoise RES(T_abs=-275)
  7050. .model pmod pjf (beta=0.5e-2, T_abs=-10)
  7051. .MODEL DX D (T_abs=-10)
  7052. .model dn d(kf=2p,af=1, T_abs=-4)
  7053. .ENDS
  7054.  
  7055. * PINOUT ORDER +IN -IN +V -V OUT
  7056. * PINOUT ORDER 3 4 6 2 1
  7057. .SUBCKT LMV951 3 4 6 2 1
  7058. * NOTE - THIS MODEL DOES NOT INCLUDE THE SHUTDOWN FEATURE
  7059. **********
  7060. * MODEL FEATURES INCLUDE OUTPUT SWING, OUTPUT CURRENT THRU
  7061. * THE SUPPLY RAILS, OUTPUT SWING VS IO, OUTPUT CURRENT LIMIT,
  7062. * OPEN LOOP GAIN AND PHASE, SLEW RATE, COMMON MODE REJECTION
  7063. * WITH FREQ EFFECTS, POWER SUPPLY REJECTION WITH FREQ EFFECTS,
  7064. * INPUT VOLTAGE NOISE WITH 1/F, INPUT CURRENT NOISE, INPUT
  7065. * CAPACITANCE, INPUT BIAS CURRENT, INPUT COMMON MODE RANGE,
  7066. * INPUT OFFSET, HIGH CLOAD EFFECTS, ANDQUIESCENT CURRENT VS
  7067. * VOLTAGE.
  7068. *///////////////////////////////////////////////////////////
  7069. *MODEL TEMP RANGE IS -40 TO +125 DEG C.
  7070. *NOTE THAT MODEL IS FUNCTIONAL OVER THIS RANGE BUT NOT ALL
  7071. *PARAMETERS TRACK THOSE OF THE REAL PART
  7072. *////////////////////////////////////////////////////////////
  7073. *
  7074. Q20 7 8 9 QLN
  7075. R3 10 11 2
  7076. R4 10 12 2
  7077. R10 8 13 1E3
  7078. R11 14 15 1E3
  7079. R12 16 6 2
  7080. R13 2 17 2
  7081. R16 18 19 10E3
  7082. R17 20 21 2
  7083. R18 9 22 2
  7084. D5 1 6 DD
  7085. D6 2 1 DD
  7086. D7 23 0 DIN
  7087. D8 24 0 DIN
  7088. I8 0 23 0.1E-3
  7089. I9 0 24 0.1E-3
  7090. E2 9 0 2 0 1
  7091. E3 21 0 6 0 1
  7092. D9 25 0 DVN
  7093. D10 26 0 DVN
  7094. I10 0 25 1E-3
  7095. I11 0 26 1E-3
  7096. E4 27 4 25 26 0.103
  7097. G2 28 4 23 24 2.5E-3
  7098. R22 2 6 2.05E3
  7099. E5 29 0 21 0 1
  7100. E6 30 0 9 0 1
  7101. E7 31 0 32 0 1
  7102. R30 29 33 1E5
  7103. R31 30 34 1E5
  7104. R32 31 35 1E5
  7105. R33 0 33 10
  7106. R34 0 34 10
  7107. R35 0 35 10
  7108. E10 36 3 35 0 0.07
  7109. R36 37 32 1E3
  7110. R37 32 38 1E3
  7111. C6 29 33 1p
  7112. C7 30 34 10p
  7113. C8 31 35 1p
  7114. E11 39 36 34 0 4
  7115. E12 28 39 33 0 -0.45
  7116. E14 40 9 21 9 0.6
  7117. D11 18 21 DD
  7118. D12 9 18 DD
  7119. M1 41 42 17 17 NOUT L=3U W=1800U
  7120. M2 43 44 16 16 POUT L=3U W=1800U
  7121. M3 45 45 20 20 POUT L=3U W=1800U
  7122. M8 46 46 22 22 NOUT L=3U W=1800U
  7123. R43 47 44 100
  7124. R44 48 42 100
  7125. G3 18 40 49 40 0.125E-3
  7126. R45 40 18 5E8
  7127. C12 19 50 4p
  7128. R46 51 21 2E3
  7129. R47 52 21 2E3
  7130. C13 52 51 2p
  7131. C14 28 0 3p
  7132. C15 27 0 3p
  7133. C16 1 0 3p
  7134. D13 42 7 DD
  7135. D14 53 44 DD
  7136. Q15 53 15 21 QLP
  7137. V18 28 54 -1.7E-3
  7138. E17 38 0 28 0 1
  7139. E18 37 0 4 0 1
  7140. V21 10 55 -0.98
  7141. R59 1 43 2
  7142. R60 41 1 2
  7143. J1 56 28 56 JNC
  7144. J2 56 27 56 JNC
  7145. J3 27 57 27 JNC
  7146. J4 28 57 28 JNC
  7147. E20 58 40 52 51 -1
  7148. R62 58 49 1E4
  7149. C23 49 40 4p
  7150. G7 59 40 18 40 -1E-3
  7151. G8 40 60 18 40 1E-3
  7152. G9 40 61 46 9 1E-3
  7153. G10 62 40 21 45 1E-3
  7154. D17 62 59 DD
  7155. D18 60 61 DD
  7156. R66 59 62 100E6
  7157. R67 61 60 100E6
  7158. R68 62 21 1E3
  7159. R69 9 61 1E3
  7160. E23 21 47 21 62 1
  7161. E24 48 9 61 9 1
  7162. R70 60 40 1E6
  7163. R71 61 40 1E6
  7164. R72 40 62 1E6
  7165. R73 40 59 1E6
  7166. R75 39 28 1E9
  7167. R76 36 39 1E9
  7168. R77 3 36 1E9
  7169. R78 4 27 1E9
  7170. R79 40 49 1E9
  7171. R81 47 21 1E9
  7172. R82 9 48 1E9
  7173. R83 32 0 1E9
  7174. G14 21 63 64 0 27E-6
  7175. G15 45 46 64 0 180E-6
  7176. V51 64 0 1
  7177. I21 6 2 -0.35E-3
  7178. V78 21 56 -0.1
  7179. V79 57 9 -0.08
  7180. R155 45 21 1E8
  7181. R156 9 46 1E8
  7182. R157 17 42 1E8
  7183. R158 16 44 1E8
  7184. E98 21 14 6 16 4
  7185. E99 13 9 17 2 4
  7186. R335 9 63 1E12
  7187. R336 18 50 1E9
  7188. R340 0 64 1E12
  7189. R341 0 64 1E12
  7190. M9 63 63 9 9 NIN L=3U W=300U
  7191. M10 55 63 9 9 NIN L=3U W=300U
  7192. R342 54 65 2
  7193. R343 27 66 2
  7194. M11 52 65 11 11 NIN L=3U W=75U
  7195. M12 51 66 12 12 NIN L=3U W=75U
  7196. E100 50 0 1 0 1
  7197. I22 28 0 34E-9
  7198. I23 27 0 34E-9
  7199. .MODEL DVN D KF=9p IS=1E-16
  7200. .MODEL DD D
  7201. .MODEL DIN D KF=9E-16 IS=1E-16
  7202. .MODEL QLN NPN
  7203. .MODEL QLP PNP
  7204. .MODEL JNC NJF
  7205. .MODEL POUT PMOS KP=200U VTO=-0.7
  7206. .MODEL NOUT NMOS KP=200U VTO=0.7
  7207. .MODEL PIN PMOS KP=200U VTO=-0.7
  7208. .MODEL NIN NMOS KP=200U VTO=0.7
  7209. .ENDS
  7210. * END MODEL LMV951
  7211.  
  7212. * CREATED USING PARTS RELEASE 4.03 ON 10/15/90 AT 14:41
  7213. * CONNECTIONS: NON-INVERTING INPUT
  7214. * | INVERTING INPUT
  7215. * | | POSITIVE POWER SUPPLY
  7216. * | | | NEGATIVE POWER SUPPLY
  7217. * | | | | OUTPUT
  7218. * | | | | |
  7219. .SUBCKT OPA121 1 2 3 4 5
  7220. *
  7221. C1 11 12 72.79p
  7222. C2 6 7 400.0p
  7223. DC 5 53 DX
  7224. DE 54 5 DX
  7225. DLP 90 91 DX
  7226. DLN 92 90 DX
  7227. DP 4 3 DX
  7228. EGND 99 0 POLY(2) (3,0) (4,0) 0 .5 .5
  7229. FB 7 99 POLY(5) VB VC VE VLP VLN 0 2.653E6 -3E6 3E6 3E6 -3E6
  7230. GA 6 0 11 12 5.027E-3
  7231. GCM 0 6 10 99 31.72E-9
  7232. ISS 3 10 DC 800.0E-6
  7233. HLIM 90 0 VLIM 1K
  7234. J1 11 2 10 JX
  7235. J2 12 1 10 JX
  7236. R2 6 9 100.0E3
  7237. RD1 4 11 198.9
  7238. RD2 4 12 198.9
  7239. RO1 8 5 25
  7240. RO2 7 99 75
  7241. RP 3 4 12.00E3
  7242. RSS 10 99 250.0E3
  7243. VB 9 0 DC 0
  7244. VC 3 53 DC 3
  7245. VE 54 4 DC 3
  7246. VLIM 7 8 DC 0
  7247. VLP 91 0 DC 40
  7248. VLN 0 92 DC 40
  7249. .MODEL DX D(IS=800.0E-18)
  7250. .MODEL JX PJF(IS=500.0f BETA=15.79E-3 VTO=-1)
  7251. .ENDS
  7252.  
  7253. * this macromodel has been optimized to model the ac, dc, and transient response performance within
  7254. * model temperature range is -40 c to +125 c, not all parameters accurately track those of an actual opa357
  7255. * over the full temperature range but are as close as practical
  7256. * pinout order +in -in +v -v out
  7257. * pinout order 3 4 5 2 1
  7258. *
  7259. .subckt opa354 3 4 5 2 1
  7260. *
  7261. * begin simulation notes
  7262. * for best results when looking at input bias currents
  7263. * set abstol from 1e-13 to 3e-13
  7264. * for aid in dc convergence set itl1 from 400 to 4000
  7265. * for aid in transient analysis set itl4 from 50 to 500
  7266. * model temperature range is
  7267. * -40 c to +125 c, not all
  7268. * parameters track those of
  7269. * the real part vs temperature
  7270. * end simulation notes
  7271. * begin model features
  7272. * open loop gain and phase
  7273. * input offset voltage change at the
  7274. * rail-to-rail input transition point
  7275. * input voltage noise with 1/f
  7276. * input current noise
  7277. * input bias current
  7278. * input capacitance
  7279. * input common mode voltage range
  7280. * input clamps to rails
  7281. * cmrr with frequency effects
  7282. * psrr with frequency effects
  7283. * slew rate
  7284. * quiescent current
  7285. * rail to rail output stage
  7286. * high cload effects
  7287. * class ab bias in output stage
  7288. * output current through supplies
  7289. * output current limiting
  7290. * output clamps to rails
  7291. * output swing vs output current
  7292. * end model features
  7293. q20 6 7 8 qln
  7294. r3 9 10 20
  7295. r4 11 10 20
  7296. r10 7 12 1e3
  7297. r11 13 14 1e3
  7298. r12 14 5 2.5
  7299. r13 2 12 2.5
  7300. r16 15 16 1e3
  7301. r17 17 18 2.5
  7302. r18 8 19 2.5
  7303. d5 20 5 dd
  7304. d6 2 20 dd
  7305. d7 21 0 din
  7306. d8 22 0 din
  7307. i8 0 21 0.1e-3
  7308. i9 0 22 0.1e-3
  7309. e2 8 0 2 0 1
  7310. e3 18 0 5 0 1
  7311. d9 23 0 dvn
  7312. d10 24 0 dvn
  7313. i10 0 23 0.1e-3
  7314. i11 0 24 0.1e-3
  7315. e4 25 4 23 24 0.18
  7316. g2 26 4 21 22 5e-7
  7317. r22 2 5 100e6
  7318. e5 27 0 18 0 1
  7319. e6 28 0 8 0 1
  7320. e7 29 0 30 0 1
  7321. r30 27 31 1e4
  7322. r31 28 32 1e5
  7323. r32 29 33 1e5
  7324. r33 0 31 1
  7325. r34 0 32 10
  7326. r35 0 33 10
  7327. e10 34 3 33 0 0.4
  7328. r36 35 30 1k
  7329. r37 30 36 1k
  7330. c6 27 31 0.2p
  7331. c7 28 32 100p
  7332. c8 29 33 2p
  7333. e11 37 34 32 0 0.5
  7334. e12 26 37 31 0 3.3
  7335. e14 38 8 18 8 0.5
  7336. d11 15 18 dd
  7337. d12 8 15 dd
  7338. m1 39 40 12 12 nout l=3u w=800u
  7339. m2 41 42 14 14 pout l=3u w=800u
  7340. m3 43 43 17 17 pout l=3u w=800u
  7341. m4 44 45 9 9 pin l=3u w=160u
  7342. m5 46 25 11 11 pin l=3u w=160u
  7343. m8 47 47 19 19 nout l=3u w=800u
  7344. r43 48 42 100
  7345. r44 49 40 100
  7346. g3 15 38 50 38 0.2e-3
  7347. r45 38 15 200e6
  7348. c12 16 20 1p
  7349. r46 8 44 2e3
  7350. r47 8 46 2e3
  7351. c13 44 46 0.125p
  7352. c14 26 0 0.68p
  7353. c15 25 0 0.68p
  7354. c16 20 0 0.5p
  7355. d13 40 6 dd
  7356. d14 51 42 dd
  7357. q15 51 13 18 qlp
  7358. v18 26 45 0.7e-3
  7359. m16 52 53 54 54 nin l=3u w=160u
  7360. r53 55 54 20
  7361. m17 56 25 57 57 nin l=3u w=160u
  7362. r54 55 57 20
  7363. r55 52 18 2e3
  7364. r56 56 18 2e3
  7365. c20 52 56 0.125p
  7366. v19 45 53 -2e-3
  7367. m18 58 59 60 60 pin l=6u w=500u
  7368. m19 61 62 18 18 pin l=6u w=500u
  7369. v20 18 59 1.3
  7370. m21 55 58 8 8 nin l=6u w=500u
  7371. m22 58 58 8 8 nin l=6u w=500u
  7372. g6 15 38 63 38 0.2e-3
  7373. e17 36 0 26 0 1
  7374. e18 35 0 4 0 1
  7375. m23 62 62 18 18 pin l=6u w=500u
  7376. v21 61 10 0
  7377. r59 20 41 5
  7378. r60 39 20 5
  7379. j1 64 26 64 jnc
  7380. j2 64 25 64 jnc
  7381. j3 25 65 25 jnc
  7382. j4 26 65 26 jnc
  7383. c21 26 25 0.5p
  7384. e19 66 38 56 52 1
  7385. r61 66 63 1e4
  7386. c22 63 38 0.125p
  7387. e20 67 38 46 44 1
  7388. r62 67 50 1e4
  7389. c23 50 38 0.125p
  7390. g7 68 38 15 38 -1e-3
  7391. g8 38 69 15 38 1e-3
  7392. g9 38 70 47 8 1e-3
  7393. g10 71 38 18 43 1e-3
  7394. d17 71 68 dd
  7395. d18 69 70 dd
  7396. r66 68 71 100e6
  7397. r67 70 69 100e6
  7398. r68 71 18 1e3
  7399. r69 8 70 1e3
  7400. e23 18 48 18 71 1
  7401. e24 49 8 70 8 1
  7402. r70 69 38 1e6
  7403. r71 70 38 1e6
  7404. r72 38 71 1e6
  7405. r73 38 68 1e6
  7406. g11 5 2 72 0 3.55e-3
  7407. r75 37 26 1e9
  7408. r76 34 37 1e9
  7409. r77 3 34 1e9
  7410. r78 4 25 1e9
  7411. r79 38 50 1e9
  7412. r80 38 63 1e9
  7413. r81 48 18 1e9
  7414. r82 8 49 1e9
  7415. r83 30 0 1e9
  7416. r85 60 61 1e3
  7417. g14 62 8 73 0 400e-6
  7418. g15 43 47 73 0 1.35e-3
  7419. e48 74 15 73 0 30
  7420. e49 75 38 73 0 -30
  7421. v49 76 75 15
  7422. v50 77 74 -15
  7423. r127 74 0 1e12
  7424. r128 75 0 1e12
  7425. m41 38 77 15 78 psw l=1.5u w=150u
  7426. m42 15 76 38 79 nsw l=1.5u
  7427. r129 78 0 1e12
  7428. r130 79 0 1e12
  7429. m43 80 81 8 8 nen l=3u w=300u
  7430. m44 82 80 8 8 nen l=3u w=3000u
  7431. r131 80 18 1e4
  7432. r132 82 83 1e6
  7433. v51 83 8 1
  7434. m45 84 84 18 18 pen l=6u w=60u
  7435. m46 81 84 18 18 pen l=6u w=60u
  7436. i20 84 8 0.2e-6
  7437. c26 81 0 1p
  7438. e50 73 0 85 8 1
  7439. v52 82 85 1.111e-6
  7440. r133 8 85 1e12
  7441. c32 18 80 15p
  7442. c33 83 82 0.15p
  7443. i21 5 2 3.4e-6
  7444. l1 20 1 4e-9
  7445. r150 20 1 400
  7446. v78 18 64 0
  7447. v79 65 8 0
  7448. i22 25 0 1p
  7449. i23 26 0 1p
  7450. m47 86 80 8 8 nen l=3u w=3000u
  7451. r152 86 83 1e6
  7452. c34 83 86 0.005p
  7453. v80 86 87 1.111e-6
  7454. r153 8 87 1e12
  7455. e53 72 0 87 8 1
  7456. r154 0 72 1e12
  7457. r155 43 18 1e9
  7458. r156 8 47 1e9
  7459. r157 12 40 1e9
  7460. r158 14 42 1e9
  7461. r159 81 18 10e6
  7462. rg1 73 0 1e9
  7463. .model dvn d kf=8p is=1e-16
  7464. .model dd d
  7465. .model din d
  7466. .model qln npn
  7467. .model qlp pnp
  7468. .model jnc njf
  7469. .model pout pmos kp=200u vto=-0.7
  7470. .model nout nmos kp=200u vto=0.7
  7471. .model pin pmos kp=200u vto=-0.7
  7472. .model nin nmos kp=200u vto=0.7
  7473. .model nen nmos kp=200u vto=0.5 is=1e-18
  7474. .model pen pmos kp=200u vto=-0.7 is=1e-18
  7475. .model psw pmos kp=200u vto=-7.5 is=1e-18
  7476. .model nsw nmos kp=200u vto=7.5 is=1e-18
  7477. .ends opa354
  7478.  
  7479. * THIS MODEL IS APPLICABLE TO OPA340, OPA2340, OPA4340
  7480. *
  7481. * non-inverting input
  7482.  
  7483. * | inverting input
  7484.  
  7485. * | | positive supply
  7486.  
  7487. * | | | negative supply
  7488.  
  7489. * | | | | output
  7490.  
  7491. * | | | | |
  7492. .SUBCKT OPA340 + - V+ V- OUT
  7493.  
  7494. * INPUT STAGE
  7495. *
  7496. i1 V+ 5 150u
  7497. m7 550 vswitch 5 5 pix l=6u w=25u m=4
  7498. m8 550 550 V- V- nix l=6u w=25u m=4
  7499. m9 553a 550 V- V- nix l=6u w=25u m=4
  7500. m9c 66 nvsat 553a V- nix l=6u w=25u m=4
  7501. Vpvsat V+ vswitch DC 1.3
  7502. Vnvsat nvsat V- DC 1.37
  7503. iin1 + 98 .2p
  7504. iin2 - 98 .2p
  7505. d3 5 V+ dx
  7506. d4 V- 66 dx
  7507. d5 - V+ dx
  7508. d6 + V+ dx
  7509. d7 V- - dx
  7510. d8 V- + dx
  7511. m1 33 - 66 V- nix l=6u w=25u
  7512. m2 4 7 66 V- nix l=6u w=25u
  7513. m3 8 - 5 5 pix l=6u w=25u
  7514. m4 9 7 5 5 pix l=6u w=25u
  7515. eos 7 + poly(1) 25 98 0 0
  7516. ios - + 0p
  7517. r1 V+ 33 4.833k
  7518. r2 V+ 4 4.833k
  7519. r3 8 V- 4.833k
  7520. r4 9 V- 4.833k
  7521. *
  7522. * GAIN STAGE
  7523. *
  7524. eref 98 0 poly(2) V+ 0 V- 0 0 0.5 0.5
  7525. g1 98 21 poly(2) 4 33 9 8 0 145u 145u
  7526. rg 21 98 18.078e6
  7527. cc 21 OUT 10.5p
  7528. d1 21 22 dx
  7529. d2 23 21 dx
  7530. v1 V+ 22 1.37
  7531. v2 23 V- 1.37
  7532. *
  7533. * COMMON MODE GAIN STAGE
  7534. *
  7535. ecm 24 98 poly(2) + 98 - 98 0 0.5 0.5
  7536. r5 24 25 1e6
  7537. r6 25 98 10k
  7538. c1 24 25 0.75p
  7539. *
  7540. * OUTPUT STAGE
  7541. *
  7542. isy V+ V- 450.4u
  7543. gsy V+ V- poly(1) V+ V- -3.334e-4 6.667e-5
  7544. ep V+ 39 poly(1) 98 21 0.78925 1
  7545. en 38 V- poly(1) 21 98 0.78925 1
  7546. vh OUT 6h DC 1e-2
  7547. vl 6l OUT DC 1e-2
  7548. m113 6h 39 V+ V+ pox l=1.5u w=1500u
  7549. m114 6l 38 V- V- nox l=1.5u w=1500u
  7550. c15 OUT 39 50p
  7551. c16 OUT 38 50p
  7552. .model dx d(rs=1 cjo=0.1p)
  7553. .model nix nmos(vto=0.75 kp=205.5u rd=1 rs=1 rg=1 rb=1 cgso=4e-9
  7554. +cgdo=4e-9 cgbo=16.667e-9 cbs=2.34e-13 cbd=2.34e-13)
  7555. .model nox nmos(vto=0.75 kp=195u rd=.5 rs=.5 rg=1 rb=1 cgso=66.667p
  7556. +cgdo=66.667p cgbo=125e-9 cbs=2.34e-13 cbd=2.34e-13)
  7557. .model pix pmos(vto=-0.75 kp=205.5u rd=1 rs=1 rg=1 rb=1 cgso=4e-9
  7558. +cgdo=4e-9 cgbo=16.667e-9 cbs=2.34e-13 cbd=2.34e-13)
  7559. .model pox pmos(vto=-0.75 kp=195u rd=.5 rs=.5 rg=1 rb=1 cgso=66.667p
  7560. +cgdo=66.667p cgbo=125e-9 cbs=2.34e-13 cbd=2.34e-13)
  7561. .ENDS OPA340
  7562.  
  7563. * MAX4323 MACROMODEL
  7564. * ----------------------------
  7565. * Revision 1. 10/2011
  7566. * ----------------------------
  7567. * The MAX4323 wideband, low noise, low distortion opamps operates from single
  7568. * +2.4V to 6.5V Supply, feature ground sensing inputs and rail to rail outputs
  7569. * and are unity gain stable
  7570. * ----------------------------
  7571.  
  7572. *******************************
  7573. .SUBCKT MAX4323 IN+ VEE IN- OUT SHDN VCC
  7574. XAMP1 VCC VEE IN+ IN- OUT SHDN MAX4323_S
  7575. .ENDS
  7576. *****************
  7577. .SUBCKT MAX4323_S 10 18 17 15 75 54
  7578. * 10=VCC
  7579. * 18=VEE
  7580. * 17=IN+
  7581. * 15=IN-
  7582. * 75=OUT
  7583. * 54=SHDN
  7584. *****************
  7585. *INPUT STAGE
  7586. VS1 10 11 0V
  7587. GBIAS 11 12 52 20 2.697U
  7588. CB 12 18 0.03P
  7589. M1 13 16 12 11 MOSFET
  7590. M2 14 15 12 11 MOSFET
  7591. DBIAS 18 12 DA
  7592. VOS 19 16 3m
  7593. ERDC 19 17 111 20 1
  7594. RD1 13 18 10K
  7595. RD2 14 18 10K
  7596. C1 13 14 1.5P
  7597. DIN1 16 11 DX
  7598. DIN2 18 16 DX
  7599. DIN3 15 11 DX
  7600. DIN4 18 15 DX
  7601. CIN1 17 20 7.5P
  7602. CIN2 15 20 7.5P
  7603. FSUP 18 10 VS1 1
  7604. ECHK 199 20 13 14 1
  7605. **************
  7606. *INPUT BIAS CURRENT
  7607. IBIAS1 12 16 190n
  7608. IBIAS2 12 15 170n
  7609. **************************************************
  7610. *GAIN STAGE
  7611. GA 21 20 14 13 2.512M
  7612. RO1 21 20 10K
  7613. GB 22 20 21 20 1
  7614. RO2 22 20 100K
  7615. EF 23 20 22 20 1
  7616. RLF 23 20 10MEG
  7617. CC 21 23 51.48P
  7618. EG1 20 18 10 18 0.5
  7619. *****************
  7620. *DEVICE ENABLE
  7621. REN2 10 54 100MEG
  7622. IEN1 18 54 1U
  7623. DEN3 54 10 DX
  7624. *SHDN DELAYS
  7625. EEN2 55 20 54 18 1
  7626. REN4 55 58 140K
  7627. DEN4 56 55 DA
  7628. REN3 58 56 60K
  7629. CEN 58 20 23P
  7630. ******
  7631. EEN1 48 20 58 20 1
  7632. EHYST1 48 49 POLY(2) 52 20 10 18 0 0 0 0 -0.4
  7633. EVEN1 49 50 10 18 0.7
  7634. REN1 50 51 5K
  7635. VIS2 20 51 0V
  7636. *******
  7637. FEN1 52 20 VIS2 4
  7638. DEN2 20 52 DA
  7639. DEN1 52 53 DA
  7640. CL2 52 20 100P
  7641. RLEN 52 20 1MEG
  7642. VLIM2 53 20 1V
  7643. RDLY 52 57 40K
  7644. CDLY 57 20 200P
  7645. *****************
  7646. DVL1 22 33 DA
  7647. VMIN1 59 33 0.5MV
  7648. DVL2 34 22 DA
  7649. VMIN2 34 60 2.5MV
  7650. ELIM2 59 61 10 18 0.5
  7651. ELIM1 63 60 10 18 0.5
  7652. ECOMP2 62 20 65 66 1
  7653. ECOMP1 64 20 65 66 1
  7654. HCOMP2 62 61 VIS3 62.5
  7655. HCOMP1 64 63 VIS3 19
  7656. *****************
  7657. EOUT 65 20 22 20 1
  7658. ROUT1 65 66 5
  7659. VIS3 66 67 0V
  7660. RLOAD 75 20 100MEG
  7661. *****************
  7662. *CURRENT LIMIT
  7663. DSC1 67 68 DA
  7664. DSC2 69 67 DA
  7665. DSC3 69 70 DA
  7666. DSC4 70 68 DA
  7667. ISC1 68 69 10MA
  7668. RSC 68 69 10MEG
  7669. *****************
  7670. *DISABLE SWITCH
  7671. MEN1 71 72 70 10 MOSFETP
  7672. MEN2 71 73 70 18 MOSFETN
  7673. EEN3 70 72 52 20 10
  7674. EEN4 73 70 52 20 10
  7675. RSHUNT 70 71 10MEG
  7676. VIS5 71 75 0V
  7677. *****************
  7678. *SUPPLY CURRENT
  7679. *BIAS CURRENT
  7680. DSUP 18 10 DB
  7681. ISUP 10 18 40UA
  7682. GSUP 10 18 52 20 650U
  7683. *LOAD CURRENT
  7684. FSUP1 20 77 VIS5 1
  7685. CSUP 77 20 1P
  7686. DSUP1 20 77 DB
  7687. DSUP2 77 78 DB
  7688. VIS4 78 20 0V
  7689. FSUP2 10 18 VIS4 1
  7690. *****************
  7691. *CMRR DC AND AC
  7692. RRDC 111 20 1
  7693. GCM2 20 111 12 18 7.94U
  7694. *****************
  7695. *MAX COMMON MODE INPUT VCC-1.2V
  7696. DIL 12 83 DA
  7697. RIL 83 84 50
  7698. VIL 85 84 0.9V
  7699. EIL 85 18 10 18 1
  7700. *****************
  7701. *MINIMUM SUPPLY VOLTAGE SET AT 2.4
  7702. DVL3 18 12 DA
  7703. DVL4 12 86 DA
  7704. FVL 86 18 VIS6 1
  7705. VVL 87 18 2.4V
  7706. VIS6 87 88 0V
  7707. DVL5 88 89 DA
  7708. RVL 89 90 300
  7709. EVL1 90 18 10 18 1
  7710. **************************************************
  7711. .MODEL MOSFETN NMOS(VTO=5 KP=88E-2)
  7712. .MODEL MOSFETP PMOS(VTO=-5 KP=88E-2)
  7713. .MODEL MOSFET PMOS(VTO=-0.2 KP=37.25E-4)
  7714. .MODEL DA D(N=0.1M)
  7715. .MODEL DX D(IS=100E-14 RS=0.5k)
  7716. .MODEL DB D(IS=100E-14)
  7717. **************************************************
  7718. .ENDS
  7719.  
  7720.  
  7721. .subckt CA3140 1 36 15 20 12
  7722. *START OF DECK
  7723. * +IN -IN OUT +VSS -VSS
  7724. *NODE: 1 36 15 20 12
  7725. *------INPUT STAGE-------
  7726. VOSBAL 7 29 3.00000000E-03
  7727. EU1 8 1 20 12 0.0001
  7728. EU2 8 7 5 12 -1
  7729. RB1 12 10 1 TC= 1.991040E-03 (-1.244400E-04)
  7730. IB4 10 12 1.000000E-06
  7731. RB3 12 5 8743.17 TC= 1.60000000E-03 (0.00000000E+00)
  7732. IB3 12 5 5.718750E-07
  7733. G1 12 1 10 12 1.025000E-05
  7734. G2 12 36 10 12 9.750000E-06
  7735. RDM 36 29 1.500000E+12
  7736. RCM 31 13 1.500000E+12
  7737. CDM 36 29 4.000000p
  7738. G5 31 13 36 31 6.66667E-13
  7739. G6 31 13 1 31 6.66667E-13
  7740. *------INTERMEDIATE STAGE-------
  7741. GDM 31 16 29 36 1
  7742. GCM 31 16 13 31 -1.58113883E-05
  7743. R1 31 16 3.16358380E+02
  7744. C1 31 16 6.45457E-11
  7745. VCP 23 31 100
  7746. VCM 24 31 -100
  7747. DD1 16 23 MD2
  7748. .MODEL MD2 D XTI=1.000000P
  7749. * SPECTRE: + IMAX=1000
  7750. DD2 24 16 MD2
  7751. G3 31 6 16 31 -1.07249255E-06
  7752. R2 31 6 100000
  7753. C2 11 6 1.2E-11
  7754. RP1 31 20 3750
  7755. RP2 31 12 3750
  7756. *------OUTPUT STAGE-------
  7757. G4 31 11 6 31 -5.10867719E+01
  7758. ROUT 31 11 60
  7759. DD3 11 9 MD3
  7760. .MODEL MD3 D IS=10.0F XTI=1.0P N= 3.612647E-01
  7761. * SPECTRE: + IMAX=1000
  7762. DD4 9 11 MD4
  7763. .MODEL MD4 D IS=10.0F XTI=1.0P N= 8.028126E-01
  7764. * SPECTRE: + IMAX=1000
  7765. EU6 9 31 2 31 1
  7766. RO1 11 26 20
  7767. FF1 31 28 VFF1 1
  7768. VFF1 26 2 0.0
  7769. FF2 31 20 VFF2 -1
  7770. VFF2 33 31 0.0
  7771. FF3 12 31 VFF3 -1
  7772. VFF3 31 27 0.0
  7773. DD8 27 28 MID
  7774. DD7 28 33 MID
  7775. .MODEL MID D XTI=1.000000F N=1 IS=10.000000F
  7776. * SPECTRE: + IMAX=1000
  7777. VP 20 22 -29.2613
  7778. VM 21 12 -29.3113
  7779. DD5 25 22 MID
  7780. DD6 21 17 MID
  7781. VP1 20 30 2.7501
  7782. VM1 32 12 .859456
  7783. DD9 2 30 MD9
  7784. DD10 32 15 MD9
  7785. .MODEL MD9 D XTI=1.000000F N=1 IS=10.000000F
  7786. * SPECTRE: + IMAX=1000
  7787. HH1 25 2 POLY(2) VIC2 VIC1 0 1960 0 1540 0 0 0 0 0 0
  7788. HH2 2 17 POLY(2) VIC3 VIC1 0 -1960 0 -1540 0 0 0 0 0 0
  7789. VIC1 37 3 0.0
  7790. VIC2 2 14 0.0
  7791. VIC3 14 15 0.0
  7792. VPP 37 0 1
  7793. RPP 3 0 100.0K
  7794. RO3 15 20 200.0MEG
  7795. RO2 15 12 200.0MEG
  7796. .ends
  7797.  
  7798. .subckt mcp6041_my 1 2 3 4 5
  7799. * | | | | |
  7800. * | | | | output
  7801. * | | | negative supply
  7802. * | | positive supply
  7803. * | inverting input
  7804. * non-inverting input
  7805. *
  7806. * macromodel for the mcp6041/2/3/4 op amp family:
  7807. * mcp6041 (single)
  7808. * mcp6042 (dual)
  7809. * mcp6043 (single w/ cs; chip select is not modeled)
  7810. * mcp6044 (quad)
  7811. * rev a: 7-9-01
  7812. *
  7813. * recommendations:
  7814. * for high impedance circuits, set gmin=100f in the .options
  7815. * supported:
  7816. * typical performance at room temperature (25 degrees c)
  7817. * dc, ac, transient, and noise analyses.
  7818. * most specs, including: offsets, psrr, cmrr, input impedance,
  7819. * open loop gain, voltage ranges, supply current, ... , etc.
  7820. *
  7821. * not supported:
  7822. * chip select (mcp6043)
  7823. * variation in specs vs. power supply voltage
  7824. * distortion (detailed non-linear behavior)
  7825. * temperature analysis
  7826. * process variation
  7827. * behavior outside normal operating region
  7828. *
  7829. * input stage
  7830. r10 3 11 78k
  7831. r11 3 12 78k
  7832. c11 11 12 4.9p
  7833. c12 1 0 6p
  7834. be12 1 14 v=1e-3+v(20)+v(21)-165e-6 -20e-6*v(3, 4)+50e-6*(v(1, 3)+v(2, 4))
  7835. bg12 14 0 i=1.5p +1e-6 *(v(22)+v(23))
  7836. m12 11 14 15 15 nmi l=2u w=42u
  7837. c13 14 2 3p
  7838. m14 12 2 15 15 nmi l=2u w=42u
  7839. bg14 2 0 i=0.5p + 1e-6 * (v(24)+v(25))
  7840. c14 2 0 6p
  7841. ******
  7842. i15 15 4 500n
  7843. v16 16 4 0.18
  7844. d16 16 15 dl
  7845. v13 3 13 0.00
  7846. d13 14 13 dl
  7847. * noise sources
  7848. i20 21 20 17.2n
  7849. d20 20 0 dn1
  7850. d21 0 21 dn1
  7851. i22 23 22 588u
  7852. d22 22 0 dn23
  7853. d23 0 23 dn23
  7854. i24 25 24 588u
  7855. d24 24 0 dn23
  7856. d25 0 25 dn23
  7857. * open loop gain, slew rate
  7858. *bg30 0 30 i=v(12, 11)-v(30)*0.0001+uramp(-v(30)-25.1)-uramp(v(30)-25.1)
  7859. bg30 0 30 i=v(12, 11)-v(30)*0.0001+uramp(-v(30)-0.1)-uramp(v(30)-0.1)
  7860. c30 30 300 1.14m
  7861. v300 300 0 -21.52m
  7862. bg31 0 31 i=v(30,31)
  7863. c31 31 300 775n
  7864. *
  7865. * output stage
  7866. bg43 3 0 i=1e-7+uramp(i(vam))
  7867. bg47 0 4 i=1e-7+uramp(-i(vam))
  7868. be43 44 0 v=v(3)-0.001
  7869. be47 47 0 v=v(4)
  7870. d44 45 44 dls
  7871. d46 46 45 dls
  7872. v46 46 47 1m
  7873. bg45 47 45 i=8e-4*v(31) + v(3,4)*4u
  7874. r45 45 47 125k
  7875. vam 45 450 0
  7876. r48 450 5 44
  7877. c48 5 0 2p
  7878. .model nmi nmos vto=0.3
  7879. .model dl d n=1 is=1f
  7880. .model dls d n=1m is=1f
  7881. .model dn1 d is=1f kf=1.13e-18 af=1
  7882. .model dn23 d is=1f kf=3e-20 af=1
  7883. .ends mcp6041_my
  7884.  
  7885. .SUBCKT MCP6041 1 2 3 4 5
  7886. * | | | | |
  7887. * | | | | Output
  7888. * | | | Negative Supply
  7889. * | | Positive Supply
  7890. * | Inverting Input
  7891. * Non-inverting Input
  7892. *
  7893. *
  7894. * The following op-amps are covered by this model:
  7895. * MCP6041,MCP6042,MCP6043,MCP6044
  7896. *
  7897. * Revision History:
  7898. * REV A: 07-Sep-01, KEB (created model)
  7899. * REV B: 27-Aug-06, HNV (added over temperature, improved output stage,
  7900. * fixed overdrive recovery time)
  7901. * (MC_RQ, 27-Aug-06, Level 1.17)
  7902. *
  7903. * Recommendations:
  7904. * Use PSPICE or SIMetrix/SIMPLIS (other simulators may require translation)
  7905. * For a quick, effective design, use a combination of: data sheet
  7906. * specs, bench testing, and simulations with this macromodel
  7907. * For high impedance circuits, set GMIN=100F in the .OPTIONS statement
  7908. *
  7909. * Supported:
  7910. * Typical performance for temperature range (-40 to 125) degrees Celsius
  7911. * DC, AC, Transient, and Noise analyses.
  7912. * Most specs, including: offsets, DC PSRR, DC CMRR, input impedance,
  7913. * open loop gain, voltage ranges, supply current, ... , etc.
  7914. * Temperature effects for Ibias, Iquiescent, Iout short circuit
  7915. * current, Vsat on both rails, Slew Rate vs. Temp and P.S.
  7916. *
  7917. * Not Supported:
  7918. * Chip select (MCP6043)
  7919. * Some Variation in specs vs. Power Supply Voltage
  7920. * Monte Carlo (Vos, Ib), Process variation
  7921. * Distortion (detailed non-linear behavior)
  7922. * Behavior outside normal operating region
  7923. *
  7924. * Input Stage
  7925. V10 3 10 -500M
  7926. R10 10 11 69k
  7927. R11 10 12 69k
  7928. C12 1 0 6P
  7929. C11 11 12 95P
  7930. E12 71 14 POLY(6) 20 0 21 0 22 0 23 0 26 0 27 0 2.00M 10 10 29 29 1 1
  7931. G12 1 0 62 0 1m
  7932. M12 11 14 15 15 NMI
  7933. G13 1 2 62 0 20u
  7934. M14 12 2 15 15 NMI
  7935. G14 2 0 62 0 1m
  7936. C14 2 0 6P
  7937. I15 15 4 2U
  7938. V16 16 4 -300M
  7939. GD16 16 1 TABLE {V(16,1)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  7940. V13 3 13 -300M
  7941. GD13 2 13 TABLE {V(2,13)} ((-100,-1p)(0,0)(1m,1u)(2m,1m))
  7942. R71 1 0 20.0E12
  7943. R72 2 0 20.0E12
  7944. R73 1 2 20.0E12
  7945. I80 1 2 500E-15
  7946. *
  7947. * Noise, PSRR, and CMRR
  7948. I20 21 20 423U
  7949. D20 20 0 DN1
  7950. D21 0 21 DN1
  7951. I22 22 23 1N
  7952. R22 22 0 1k
  7953. R23 0 23 1k
  7954. G26 0 26 POLY(2) 3 0 4 0 0.00 -79.4U -39.8U
  7955. R26 26 0 1
  7956. G27 0 27 POLY(2) 1 0 2 0 0 27u 27u
  7957. R27 27 0 1
  7958. *
  7959. * Open Loop Gain, Slew Rate
  7960. G30 0 30 12 11 1
  7961. R30 30 0 1.00K
  7962. cr30 30 0 1p ;my
  7963. I31 0 31 DC 69.5
  7964. R31 31 0 1 TC=2.25M,-15U
  7965. GD31 30 0 TABLE {V(30,31)} ((-100,-1n)(0,0)(1m,0.1)(2m,2))
  7966. I32 32 0 DC 110.7
  7967. R32 32 0 1 TC=2.02M,-11U
  7968. GD32 0 30 TABLE {V(30,32)} ((-2m,2)(-1m,0.1)(0,0)(100,-1n))
  7969. G33 0 33 30 0 1m
  7970. R33 33 0 3K
  7971. G34 0 34 33 0 1
  7972. R34 34 0 1K
  7973. C34 34 0 70M
  7974. G37 0 341 34 0 1m
  7975. R341 341 0 1k
  7976. C341 341 0 1.3N
  7977. G371 0 37 341 0 1m
  7978. R37 37 0 1K
  7979. C37 37 0 3N
  7980. G38 0 38 37 0 1m
  7981. R38 39 0 1K
  7982. L38 38 39 13M
  7983. E38 35 0 38 0 1
  7984. G35 33 0 TABLE {V(35,3)} ((-1,-1n)(0,0)(30.0,1n))(32.0,1))
  7985. G36 33 0 TABLE {V(35,4)} ((-32.0,-1)((-30.0,-1n)(0,0)(1,1n))
  7986. *
  7987. * Output Stage
  7988. *R80 50 0 100MEG
  7989. R80 50 0 1k ;my
  7990. cr80 50 0 100f ;my
  7991. G50 0 50 57 96 2
  7992. R58 57 96 0.50
  7993. R57 57 0 500
  7994. cr57 57 0 1p ;my
  7995. C58 5 0 2.00P
  7996. G57 0 57 POLY(3) 3 0 4 0 35 0 0 1.6M 0.11M 2.00M
  7997. GD55 55 57 TABLE {V(55,57)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  7998. GD56 57 56 TABLE {V(57,56)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  7999. E55 55 0 POLY(2) 3 0 51 0 -0.97M 1 -62.8M
  8000. E56 56 0 POLY(2) 4 0 52 0 1.2M 1 -49M
  8001. R51 51 0 1k
  8002. R52 52 0 1k
  8003. *GD51 50 51 TABLE {V(50,51)} ((-10,-1n)(0,0)(1m,1m)(2m,1))
  8004. *GD52 50 52 TABLE {V(50,52)} ((-2m,-1)(-1m,-1m)(0,0)(10,1n))
  8005. Bg51 51 0 v=uramp(v(50))
  8006. Bg52 52 0 v=-uramp(-v(50))
  8007. G53 3 0 POLY(1) 51 0 -2U 1M
  8008. G54 0 4 POLY(1) 52 0 -2U -1M
  8009. *
  8010. * Current Limit
  8011. G99 96 5 99 0 1
  8012. R98 0 98 1 TC=-6.9M,17U
  8013. G97 0 98 TABLE { V(96,5) } ((-11.0,-4.0M)(-1.00M,-3.97M)(0,0)(1.00M,3.13M)(11.0,3.16M))
  8014. E97 99 0 VALUE { V(98)*((V(3)-V(4))*1.39 -1.5)}
  8015. D98 4 5 DESD
  8016. D99 5 3 DESD
  8017. *
  8018. * Temperature / Voltage Sensitive IQuiscent
  8019. R61 0 61 1 TC=2.52M,-4.31U
  8020. G61 3 4 61 0 1
  8021. G60 0 61 TABLE {V(3, 4)}
  8022. + ((0,0)(700M,5.3N)(770M,10.0N)(1.00,480N)
  8023. + (1.5,500N)(3.5,530N)(7.00,580N))
  8024. *
  8025. * Temperature Sensistive offset voltage
  8026. I73 0 70 DC 1uA
  8027. R74 0 70 1 TC=1.5
  8028. E75 1 71 70 0 1
  8029. *
  8030. * Temp Sensistive IBias
  8031. I62 0 62 DC 1uA
  8032. *R62 0 62 REXP 210U
  8033. R62 0 62 r=210U*((1.01)**(9*(TEMP-27)))
  8034. *
  8035. * Models
  8036. .MODEL NMI NMOS(L=2U W=42U KP=20U LEVEL=1 )
  8037. .MODEL DESD D N=1 IS=1.00E-15
  8038. .MODEL DN1 D IS=1P KF=0.2F AF=1
  8039. *.MODEL REXP RES R={ ((1.01)**(9*(TEMP-27))) }
  8040. .ENDS MCP6041
  8041.  
  8042.  
  8043.  
  8044.  
  8045. .subckt mcp6021 1 2 3 4 5
  8046. * | | | | |
  8047. * | | | | output
  8048. * | | | negative supply
  8049. * | | positive supply
  8050. * | inverting input
  8051. * non-inverting input
  8052. *
  8053. * macromodel for the mcp6021/2/3/4 op amp family:
  8054. * mcp6021 (single)
  8055. * mcp6022 (dual)
  8056. * mcp6023 (single w/ cs; chip select is not modeled)
  8057. * mcp6024 (quad)
  8058. * revision history:
  8059. * rev a: 10-02-01 created keb
  8060. * recommendations:
  8061. * use pspice (or spice 2g6; other simulators may require translation)
  8062. * for a quick, effective design, use a combination of: data sheet
  8063. * specs, bench testing, and simulations with this macromodel
  8064. * for high impedance circuits, set gmin=100f in the .options
  8065. * statement
  8066. * supported:
  8067. * typical performance at room temperature (25 degrees c)
  8068. * dc, ac, transient, and noise analyses.
  8069. * most specs, including: offsets, dc psrr, dc cmrr, input impedance,
  8070. * open loop gain, voltage ranges, supply current, ... , etc.
  8071. *
  8072. * not supported:
  8073. * chip select (mcp6023)
  8074. * variation in specs vs. power supply voltage
  8075. * distortion (detailed non-linear behavior)
  8076. * temperature analysis
  8077. * process variation
  8078. * behavior outside normal operating region
  8079. *
  8080. * input stage
  8081. v10 3 10 -0.6
  8082. r10 10 11 1.63k
  8083. r11 10 12 1.63k
  8084. c11 11 12 222f
  8085. c12 1 0 6p
  8086. be12 1 14 v=v(26) +v(27)
  8087. i12 14 0 1.5p
  8088. m12 11 14 15 15 nmi l=2u w=75u
  8089. c13 14 2 3p
  8090. m14 12 2 15 15 nmi l=2u w=75u
  8091. i14 2 0 0.5p
  8092. c14 2 0 6p
  8093. i15 15 4 500u
  8094. v16 16 4 0.36
  8095. d16 16 15 dl
  8096. v13 3 13 -80m
  8097. d13 14 13 dl
  8098. *
  8099. * psrr and cmrr
  8100. bg26 0 26 i=-308e-6 + 56e-6 * v(3, 4)
  8101. r26 26 0 1
  8102. bg27 0 27 i=(v(1, 3) + v(2, 4)-10)*24e-6
  8103. r27 27 0 1
  8104. *
  8105. * open loop gain, slew rate
  8106. * g30 0 30 poly(1) 12 11 0 1k
  8107. bg30 0 30 i=1000 * v(12, 11)
  8108. r30 30 0 1
  8109. d31 30 31 dl
  8110. be31 31 0 v=57.2 + 8.33 * v(3, 4)
  8111. d32 32 30 dl
  8112. be32 0 32 v=74.0 + 8.00 * v(3, 4)
  8113. bg33 0 33 i=316 * v(30)
  8114. r33 33 0 1
  8115. c33 33 0 4.58m
  8116. bg34 0 34 i= v(33)
  8117. r34 34 0 1
  8118. c34 34 0 159p
  8119. *
  8120. * output stage
  8121. bg40 0 40 i=10 * v(47, 5)
  8122. d41 40 41 dl
  8123. r41 41 0 1k
  8124. d42 42 40 dl
  8125. r42 42 0 1k
  8126. bg43 3 0 i=500e-6 + 1e-3 * v(41)
  8127. bg44 0 4 i=500e-6 -1e-3 * v(42)
  8128. d45 47 45 dls
  8129. be45 45 0 v=-20e-3 + v(3) -20.4e-3 * v(41)
  8130. be46 46 0 v=20e-3 + v(4) -20.4e-3 * v(42)
  8131. d46 46 47 dls
  8132. bg47 0 47 i=(v(3) +v(4) + 2 * v(34))*8e-3
  8133. r47 47 0 62.5
  8134. r48 47 5 0.1
  8135. c48 5 0 2p
  8136. .model nmi nmos af=1 kf=0.1f
  8137. .model dl d n=1 is=1f cjo=2f
  8138. .model dls d n=10m is=1f
  8139. .ends mcp6021
  8140.  
  8141.  
  8142.  
  8143. *a Device model created by analog_uprev for mc34182 on Fri Mar 2 03:59:17 IST 2001
  8144.  
  8145.  
  8146. .subckt mc34182 1 36 15 20 12
  8147. *START OF DECK
  8148. * +IN -IN OUT +VSS -VSS
  8149. *NODE: 1 36 15 20 12
  8150. *------INPUT STAGE-------
  8151. VOSBAL 7 29 1.89000000E-05
  8152. EU1 8 1 20 12 6.3E-07
  8153. EU2 8 7 5 12 -1
  8154. RB1 12 10 1 TC= 1.239585E+01 1.510697E-01
  8155. IB4 10 12 1.000000E-06
  8156. RB3 12 5 24286.6 TC= 1.00000000E-02 0.00000000E+00
  8157. IB3 12 5 4.117500E-08
  8158. G1 12 1 10 12 3.500000E-06
  8159. G2 12 36 10 12 2.500000E-06
  8160. RDM 36 29 1.000000E+13
  8161. RCM 31 13 2.000000E+11
  8162. CDM 36 29 3.000000p
  8163. G5 31 13 36 31 5p
  8164. G6 31 13 1 31 5p
  8165. *------INTERMEDIATE STAGE-------
  8166. GDM 31 16 29 36 1
  8167. GCM 31 16 13 31 -2.50593617E-05
  8168. R1 31 16 2.53086704E+02
  8169. C1 31 16 3.70373E-10
  8170. VCP 23 31 100
  8171. VCM 24 31 -100
  8172. DD1 16 23 MD2
  8173. .MODEL MD2 D XTI=1.000000P
  8174. * SPECTRE: + IMAX=1000
  8175. DD2 24 16 MD2
  8176. G3 31 6 16 31 -2.97914598E-06
  8177. R2 31 6 100000
  8178. C2 11 6 3E-11
  8179. RP1 31 20 1500
  8180. RP2 31 12 1500
  8181. *------OUTPUT STAGE-------
  8182. G4 31 11 6 31 -5.74726183E+00
  8183. ROUT 31 11 60
  8184. DD3 11 9 MD3
  8185. .MODEL MD3 D IS=10.0F XTI=1.0P N= 1.655574E-01
  8186. * SPECTRE: + IMAX=1000
  8187. DD4 9 11 MD4
  8188. .MODEL MD4 D IS=10.0F XTI=1.0P N= 1.655578E-01
  8189. * SPECTRE: + IMAX=1000
  8190. EU6 9 31 2 31 1
  8191. RO1 11 26 20
  8192. FF1 31 28 VFF1 1
  8193. VFF1 26 2 0.0
  8194. FF2 31 20 VFF2 -1
  8195. VFF2 33 31 0.0
  8196. FF3 12 31 VFF3 -1
  8197. VFF3 31 27 0.0
  8198. DD8 27 28 MID
  8199. DD7 28 33 MID
  8200. .MODEL MID D XTI=1.000000F N=1 IS=10.000000F
  8201. * SPECTRE: + IMAX=1000
  8202. VP 20 22 -14.3105
  8203. VM 21 12 -14.3105
  8204. DD5 25 22 MID
  8205. DD6 21 17 MID
  8206. VP1 20 30 1.70849
  8207. VM1 32 12 1.70849
  8208. DD9 2 30 MD9
  8209. DD10 32 15 MD9
  8210. .MODEL MD9 D XTI=1.000000F N=1 IS=10.000000F
  8211. * SPECTRE: + IMAX=1000
  8212. HH1 25 2 POLY(2) VIC2 VIC1 0 4200 0 3300 0 0 0 0 0 0
  8213. HH2 2 17 POLY(2) VIC3 VIC1 0 -4200 0 -3300 0 0 0 0 0 0
  8214. VIC1 37 3 0.0
  8215. VIC2 2 14 0.0
  8216. VIC3 14 15 0.0
  8217. VPP 37 0 1
  8218. RPP 3 0 100.0K
  8219. RO3 15 20 200.0MEG
  8220. RO2 15 12 200.0MEG
  8221. .ends
  8222.  
  8223. .subckt ad8611 99 1 2 50 65 45 51 80
  8224. * non-inverting input-1
  8225. * inverting input-2
  8226. * positive supply-99
  8227. * negative supply-50
  8228. * latch-80
  8229. * dgnd- 51
  8230. * q- 45
  8231. * qnot- 65
  8232. * input stage
  8233. q1 4 3 5 pix
  8234. q2 6 2 5 pix
  8235. ibias 99 5 800e-6
  8236. rc1 4 50 1e3
  8237. rc2 6 50 1e3
  8238. cl1 4 6 3e-13
  8239. cin 1 2 3p
  8240. vcm1 99 7 1.9
  8241. d1 5 7 dx
  8242. beos 3 1 v=1e-3 + v(31, 98)
  8243. *
  8244. * reference voltages
  8245. beref 98 0 v=0.5 * (v(99)+v(50))
  8246. rref 98 0 100e3
  8247. *
  8248. * cmrr=66db, zero at 1khz
  8249. becm1 30 98 v=0.5 * (v(1, 98) + v(2, 98))
  8250. rcm1 30 31 10e3
  8251. rcm2 31 98 5
  8252. ccm1 30 31 15.9e-9
  8253. *
  8254. * latch section
  8255. rx 80 51 100e3
  8256. e1 10 98 4 6 1
  8257. s1 10 11 80 51 slatch1
  8258. r2 11 12 1
  8259. c3 12 98 5.4p
  8260. e2 13 98 12 98 1
  8261. r3 12 13 500
  8262. *
  8263. * power supply section
  8264. bgsy1 99 52 i=4e-3 -2.6e-4 * v(99, 50)
  8265. bgsy2 52 50 i=3.7e-3 -.6e-3 * v(99, 50)
  8266. rsy 52 51 10
  8267. *
  8268. * gain stage av=250 fp=100mhz
  8269. g2 98 20 12 98 0.25
  8270. r1 20 98 1000
  8271. c1 20 98 10e-13
  8272. e3 97 0 99 0 1
  8273. e4 52 0 51 0 1
  8274. v1 97 21 dc 0.8
  8275. v2 22 52 dc 0.8
  8276. d2 20 21 dx
  8277. d3 22 20 dx
  8278. *
  8279. * q output
  8280. q3 99 41 46 nox
  8281. q4 47 42 51 nox
  8282. rb1 43 41 2000
  8283. rb2 40 42 2000
  8284. cb1 99 41 0.5p
  8285. cb2 42 51 1p
  8286. ro1 46 44 1
  8287. d4 44 45 dx
  8288. ro2 47 45 500
  8289. eo1 97 43 20 51 1
  8290. eo2 40 51 20 51 1
  8291. *
  8292. * q not output
  8293. q5 99 61 66 nox
  8294. q6 67 62 51 nox
  8295. rb3 63 61 2000
  8296. rb4 60 62 2000
  8297. cb3 99 61 0.5p
  8298. cb4 62 51 1p
  8299. ro3 66 64 1
  8300. d5 64 65 dx
  8301. ro4 67 65 500
  8302. eo3 63 51 20 51 1
  8303. eo4 97 60 20 51 1
  8304. .model pix pnp(bf=100,is=1e-16)
  8305. .model nox npn(bf=100,vaf=130,is=1e-14)
  8306. .model dx d(is=1e-14)
  8307. .model slatch1 SW ron=1e6 roff=500 VH=-0.35 VT=1.75
  8308. .ends ad8611
  8309.  
  8310. * TI LM393 VOLTAGE COMPARATOR "MACROMODEL" SUBCIRCUIT
  8311. * CREATED USING PARTS VERSION 4.03 ON 03/07/90 AT 14:17
  8312. * REV (N/A)
  8313. * CONNECTIONS: NON-INVERTING INPUT
  8314. * | INVERTING INPUT
  8315. * | | POSITIVE POWER SUPPLY
  8316. * | | | NEGATIVE POWER SUPPLY
  8317. * | | | | OPEN COLLECTOR OUTPUT
  8318. * | | | | |
  8319. .SUBCKT LM393 1 2 3 4 5
  8320. *
  8321. F1 9 3 V1 1
  8322. IEE 3 7 DC 100.0E-6
  8323. VI1 21 1 DC .75
  8324. VI2 22 2 DC .75
  8325. Q1 9 21 7 QIN
  8326. Q2 8 22 7 QIN
  8327. Q3 9 8 4 QMO
  8328. Q4 8 8 4 QMI
  8329. .MODEL QIN PNP(IS=800.0E-18 BF=2.000E3)
  8330. .MODEL QMI NPN(IS=800.0E-18 BF=1002)
  8331. .MODEL QMO NPN(IS=800.0E-18 BF=1000 CJC=1f TR=807.4E-9)
  8332. E1 10 4 9 4 1
  8333. V1 10 11 DC 0
  8334. Q5 5 11 4 QOC
  8335. .MODEL QOC NPN(IS=800.0E-18 BF=20.29E3 CJC=1f TF=942.6p TR=543.8E-9)
  8336. DP 4 3 DX
  8337. RP 3 4 46.3E3
  8338. .MODEL DX D(IS=800.0E-18)
  8339. .ENDS LM393
  8340.  
  8341. *LMC6772BIM duble comparator
  8342. .subckt LMC6772BIM 1 2 3 4 5 6 7 8
  8343. x1 3 2 1 8 4 lmc6772b
  8344. x2 5 6 7 8 4 lmc6772b
  8345. .ends LMC6772BIM
  8346.  
  8347.  
  8348. *lmc6772b cmos comparator macro-model
  8349. * connections: non-inverting input
  8350. * | inverting input
  8351. * | | output
  8352. * | | | positive power supply
  8353. * | | | | negative power supply
  8354. * | | | | |
  8355. * | | | | |
  8356. .subckt lmc6772b 3 2 6 4 5
  8357. *
  8358. *open drain output
  8359. *low power consumption
  8360. *wide range of supply
  8361. *5us response time at 5v and 100mv overdrive
  8362. *
  8363. *----- input satge -----
  8364. rinb 2 18 1000
  8365. rina 3 19 1000
  8366. din1 5 18 dmod2
  8367. din2 18 4 dmod2
  8368. din3 5 19 dmod2
  8369. din4 19 4 dmod2
  8370. fin1 18 5 vtemp 0.75
  8371. fin2 19 5 vtemp 1.25
  8372. * input bias currents
  8373. cin1 2 10 1p
  8374. cin2 3 10 1p
  8375. * common mode input capacitance
  8376. rd1 18 11 5e+10
  8377. rd2 19 11 5e+10
  8378. * diff. input resistance
  8379. rcm 11 10 9.975e+12
  8380. * common mode input resistance
  8381. *----- supply current ------
  8382. *exx 10 5 17 5 1
  8383. bexx 10 5 v=v(17,5)
  8384. *eee 10 50 17 5 1
  8385. beee 10 50 v=v(17,5)
  8386. *ecc 40 10 4 17 1
  8387. becc 40 10 v=v(4,17)
  8388. raa 4 17 100meg
  8389. rbb 17 5 100meg
  8390. rslope 4 5 1e+12
  8391. * slope of supp. curr. vs. supp. volt.
  8392. gpwr 4 5 26 10 0.000006
  8393. * quiescent supply current
  8394. *----- vos bridge -----
  8395. *eox 120 10 31 32 2.0
  8396. beox 120 10 v=v(31,32)+v(31,32)
  8397. rcx 120 121 1k
  8398. rdx 121 10 1k
  8399. rbx 120 122 1k
  8400. rax 122 10 1.0280e+03
  8401. * input offset voltage
  8402. *----- delay stage -----
  8403. rx8 40 815 10k
  8404. ry8 815 50 5k
  8405. rba8 815 50 5k
  8406. rbb8 815 811 1k
  8407. *ein8 810 811 3 2 -1
  8408. bein8 810 811 v=v(2,3)
  8409. *evoss 814 811 122 121 1
  8410. bevoss 814 811 v=v(122,121)
  8411. *===
  8412. rca8 40 812 1k
  8413. rcb8 40 813 1k
  8414. dda8 812 813 ddel1
  8415. ddb8 813 812 ddel2
  8416. * delay time settings
  8417. cdb8 813 812 10p
  8418. rcdb8 813 812 1meg
  8419. *fset8 809 50 vsens1 1
  8420. bfset8 809 50 i=i(vsens1)
  8421. ccc 809 50 5p
  8422. qdn1 812 810 809 npnx
  8423. qdn2 813 814 809 npnx
  8424. .model npnx npn (bf=100 re=25)
  8425. .model ddel2 d (is=1e-6 tt=9.5u n=4.0)
  8426. .model ddel1 d (is=4e-6 tt=12.0u n=4.5)
  8427. gdm 10 57 812 813 1
  8428. *----- start-up -----
  8429. iset 10 24 1e-3
  8430. da1 24 23 dmod1
  8431. rbal 23 22 1000
  8432. *esupp 22 21 4 5 1.0
  8433. besupp 22 21 v=v(4,5)
  8434. voff 21 10 -1.25
  8435. da2 24 25 dmod1
  8436. vsens1 25 26 dc 0
  8437. rset 26 10 1k
  8438. cset 26 10 1e-10
  8439. *----- temp. coef. -----
  8440. *fset 10 31 vsens1 1.0
  8441. bfset 10 31 i=i(vsens1)
  8442. rvos 31 32 1k
  8443. rib 32 33 1k tc=0.0036363
  8444. * temp. co. of input currents
  8445. risc 33 34 1k
  8446. r001 34 10 1k
  8447. *----- cmrr -----
  8448. *ecmr 38 10 11 10 1.0
  8449. becmr 38 10 v=v(11,10)
  8450. vcmx 38 39 dc 0
  8451. rcm2 41 10 1meg
  8452. rcm1 39 41 1778.28
  8453. ccm 41 10 1.59155e-10
  8454. * cmrr vs. freq.
  8455. *----- psrr -----
  8456. *epsr 42 10 4 10 1.0
  8457. bepsr 42 10 v=v(4,10)
  8458. cdc1 43 42 10u
  8459. vpsx 43 44 dc 0
  8460. rpsr2 45 10 1meg
  8461. rpsr1 44 45 1000
  8462. cpsr 45 10 1.59155e-10
  8463. * psrr vs. freq.
  8464. *----- ib temp. -----
  8465. *ftemp 10 27 vsens1 1.0
  8466. bftemp 10 27 i=i(vsens1)
  8467. etemp 27 28 32 33 0.6184
  8468. dta 27 10 dmod2
  8469. dtb 28 29 dmod2
  8470. vtemp 29 10 dc 0
  8471. *----- out curr. sense & set -----
  8472. *fx 10 93 vox 1.0
  8473. bfx 10 93 i=i(vox)
  8474. dfx1 93 91 dmod1
  8475. vfx1 91 10 dc 0
  8476. dfx2 92 93 dmod1
  8477. vfx2 10 92 dc 0
  8478. *fpx 4 10 vfx1 1.0
  8479. bfpx 4 10 i=i(vfx1)
  8480. *fnx 10 5 vfx2 1.0
  8481. bfnx 10 5 i=i(vfx2)
  8482. *----- comm. input sense -----
  8483. dcx1 98 97 dmod1
  8484. dcx2 95 94 dmod1
  8485. rcx1 99 98 100
  8486. rcx2 94 99 100
  8487. vcxx 99 96 dc 0
  8488. *ecmx 96 10 11 10 1.0
  8489. becmx 96 10 v=v(11,10)
  8490. ecmp 40 97 26 10 0.2
  8491. ecmn 95 50 26 10 0.1
  8492. *----- inter-stage -----
  8493. *gos 10 57 122 121 1.0
  8494. bgos 10 57 i=v(122,121)
  8495. gosd 10 57 11 0 0.14m
  8496. fcmr 10 57 vcmx 1000
  8497. * low freq. cmrr
  8498. fpsr 10 57 vpsx 1600
  8499. * low freq. psrr
  8500. fcxx 57 10 vcxx 100
  8501. rdm 57 10 7255.2
  8502. c2 57 10 1.09683e-13
  8503. dlim1 52 57 dmod1
  8504. dlim2 57 51 dmod1
  8505. elimp 51 10 26 10 99.3
  8506. elimn 10 52 26 10 99.3
  8507. *
  8508. g2 58 10 57 10 1.0e-05
  8509. r2 58 10 13.7832
  8510. go2 59 10 58 10 10
  8511. * avol and slew-rate settings
  8512. ro2 59 10 1k
  8513. dclmp2 59 40 dmod1
  8514. dclmp1 50 59 dmod1
  8515. *----- output stage -----
  8516. *go3 10 71 59 10 1
  8517. bgo3 10 71 i=V(59,10)
  8518. ro3 71 10 1
  8519. rdp 720 72 100
  8520. rpo 79 81 28
  8521. ddp1 75 72 dmod1
  8522. ddp2 71 720 dmod1
  8523. c1 58 59 1e-10
  8524. voon 77 50 dc 0
  8525. qnp 77 72 79 pnp1
  8526. vox 86 6 dc 0
  8527. voop 40 76 dc 0
  8528. rnt 76 81 100meg
  8529. rpt 81 77 1meg
  8530. eneg 75 50 26 10 0.04
  8531. * output voltage swing settings
  8532. gsink 72 75 33 34 0.00045
  8533. * output current settings
  8534. roo 81 86 0.1
  8535. .model dmod1 d
  8536. .model dmod2 d (is=1e-17)
  8537. .model pnp1 pnp (bf=100 is=1e-13)
  8538. ra 73 40 10e6
  8539. rb 72 50 10e6
  8540. rc 72 73 10e6
  8541. rd 10 57 10e6
  8542. re 24 10 10e6
  8543. rf 93 10 10e6
  8544. *
  8545. .ends
  8546.  
  8547. *lmc7215 cmos comparator macro-model
  8548. *rev: 7/29/96 abg
  8549. * connections non-nverting input
  8550. * | inverting input
  8551. * | | output
  8552. * | | | positive power supply
  8553. * | | | | negative power supply
  8554. * | | | | |
  8555. .subckt lmc7215 3 2 6 4 5
  8556. * features:
  8557. * operates from single supply
  8558. * greater than rail-to-rail input common mode voltage range
  8559. * wide supply range
  8560. * rail-to-rail output swing
  8561. * offset voltage (max)=6mv
  8562. * low supply current= .7ua
  8563. * high output drive current
  8564. * caution: set .options gmin=1e-16 to model input bias current.
  8565. *----- input stage -----
  8566. rinb 2 18 1000
  8567. rina 3 19 1000
  8568. din1 5 18 dmod2
  8569. din2 18 4 dmod2
  8570. din3 5 19 dmod2
  8571. din4 19 4 dmod2
  8572. fin1 18 5 vtemp 0.75
  8573. fin2 19 5 vtemp 1.25
  8574. cin1 2 10 1p
  8575. cin2 3 10 1p
  8576. rd1 18 11 5e+11
  8577. rd2 19 11 5e+11
  8578. rcm 11 10 9.975e+12
  8579. *----- supply current ------
  8580. exx 10 5 17 5 1.0
  8581. eee 10 50 17 5 1.0
  8582. ecc 40 10 4 17 1.0
  8583. raa 4 17 100meg
  8584. rbb 17 5 100meg
  8585. rslope 4 5 1e+12
  8586. gpwr 4 5 26 10 9.5e-7
  8587. *----- vos -----
  8588. eox 120 10 31 32 2.0
  8589. rcx 120 121 1k
  8590. rdx 121 10 1k
  8591. rbx 120 122 1k
  8592. *rax 122 10 mrax 1.003e3
  8593. rax 122 10 mrax 1.0105e3
  8594. .model mrax r (tc1=4e-6)
  8595. *----- delay stage -----
  8596. rx8 40 815 10k
  8597. ry8 815 50 5k
  8598. rba8 815 50 5k
  8599. rbb8 815 811 1k
  8600. ein8 810 811 3 2 -10
  8601. evoss 814 811a 122 121 5
  8602. v_f 811a 811 0
  8603. rca8 40 812 0.1k
  8604. rcb8 40 813 0.1k
  8605. dda8 812 813 ddel1
  8606. ddb8 813 812 ddel2
  8607. cdb8 813 812 10p
  8608. rcdb8 813 812 1meg
  8609. fset8 809 50 vsens1 10
  8610. gset8x 809 50 4 5 0.02e-3
  8611. ****************
  8612. ccc 809 50 5p
  8613. qdn1 812 810 809 npnx
  8614. qdn2 813 814 809 npnx
  8615. .model npnx npn (bf=100 re=50)
  8616. .model ddel1 d ( rs=1 tt=50u n=0.7 )
  8617. .model ddel2 d ( rs=1 tt=50u n=0.7 )
  8618. gdm 10 57 812 813 0.1
  8619. *----- start-up -----
  8620. iset 10 24 1e-3
  8621. da1 24 23 dmod1
  8622. rbal 23 22 1000
  8623. esupp 22 21 4 5 1.0
  8624. voff 21 10 -1.25
  8625. da2 24 25 dmod1
  8626. vsens1 25 26 dc 0
  8627. rset 26 10 1k
  8628. cset 26 10 1e-10
  8629. *----- temp. coef. -----
  8630. fset 10 31 vsens1 1.0
  8631. rvos 31 32 1k
  8632. rib 32 33 mrib 1k
  8633. .model mrib r (tc1=0.0029713)
  8634. risc 33 34 mrisc 1k
  8635. .model mrisc r (tc1=0)
  8636. r001 34 10 1k
  8637. *----- cmrr -----
  8638. ecmr 38 10 11 10 1.0
  8639. vcmx 38 39 dc 0
  8640. rcm2 41 10 1meg
  8641. rcm1 39 41 1e6
  8642. ccm 41 10 1.59155e-10
  8643. *----- psrr -----
  8644. epsr 42 10 4 10 1.0
  8645. cdc1 43 42 10u
  8646. vpsx 43 44 dc 0
  8647. rpsr2 45 10 1meg
  8648. rpsr1 44 45 1e6
  8649. cpsr 45 10 1.59155e-10
  8650. *----- ib temp. -----
  8651. ftemp 10 27 vsens1 1.0
  8652. etemp 27 28 32 33 0.632
  8653. dta 27 10 dmod2
  8654. dtb 28 29 dmod2
  8655. vtemp 29 10 dc 0
  8656. *----- out curr. sense & set -----
  8657. fx 10 93 vox 1.0
  8658. dfx1 93 91 dmod1
  8659. vfx1 91 10 dc 0
  8660. dfx2 92 93 dmod1
  8661. vfx2 10 92 dc 0
  8662. fpx 4 10 vfx1 1.0
  8663. fnx 10 5 vfx2 1.0
  8664. *----- input cm-range set -----
  8665. dcx1 98 97 dmod1
  8666. dcx2 95 94 dmod1
  8667. rcx1 99 98 100
  8668. rcx2 94 99 100
  8669. vcxx 99 96 dc 0
  8670. ecmx 96 10 11 10 1.0
  8671. ecmp 40 97 26 10 -5e-1
  8672. ecmn 95 50 26 10 0.1
  8673. *----- gain-stage -----
  8674. gos 10 57 122 121 1.0
  8675. fcmr 10 57 vcmx 1000
  8676. fpsr 10 57 vpsx 1000
  8677. fcxx 57 10 vcxx 100
  8678. rdm 57 10 2091
  8679. c2 57 10 6.59p
  8680. dlim1 52 57 dmod1
  8681. dlim2 57 51 dmod1
  8682. elimp 51 10 26 10 99.3
  8683. elimn 10 52 26 10 4.16e2
  8684. g2 58 10 57 10 6e-6
  8685. r2 58 10 79.7
  8686. go2 59 10 58 10 1e4
  8687. ro2 59 10 1k
  8688. dclmp2 59 40 dmod1
  8689. dclmp1 50 59 dmod1
  8690. *----- output stage -----
  8691. go3 10 71 59 10 1
  8692. ro3 71 10 5
  8693. rdn2 710 71 100
  8694. rdp 720 72 100
  8695. ddn1 73 74 dmod1
  8696. ddn2 73 710 dmod1
  8697. rno 78 81 1
  8698. rpo 79 81 1
  8699. ddp1 75 72 dmod1
  8700. ddp2 71 720 dmod1
  8701. c1 58 59 1e-10
  8702. voop 40 76 dc 0
  8703. voon 77 50 dc 0
  8704. qno 76 73 78 npn1
  8705. qnp 77 72 79 pnp1
  8706. *****************
  8707. mn1 79 350 77 77 mnmod w=40u l=1u
  8708. e_mn1 350 77 10 71 1.0
  8709. mp1 78 360 76 76 mpmod w=40u l=1u
  8710. e_mp1 76 360 71 10 1.0
  8711. *****************
  8712. vox 86 6 dc 0
  8713. rnt 76 81 100meg
  8714. rpt 81 77 1meg
  8715. epos 40 74 26 10 0.0
  8716. eneg 75 50 26 10 0.1
  8717. gsource 74 73 33 34 3.8e-4
  8718. gsink 72 75 33 34 3.5e-4
  8719. roo 81 86 30
  8720. .model dmod1 d
  8721. .model dmod2 d (is=1e-17)
  8722. .model npn1 npn (bf=100 is=1f)
  8723. .model pnp1 pnp (bf=100 is=1f)
  8724. .model mnmod nmos vto=0.5
  8725. .model mpmod pmos vto=-0.5
  8726. ra 73 40 10e6
  8727. rb 72 50 10e6
  8728. rc 72 73 10e6
  8729. rd 10 57 10e6
  8730. re 24 10 10e6
  8731. rf 93 10 10e6
  8732. e_test 100a 5 814 810 1.0
  8733. r_test 100a 5 1k
  8734. *
  8735. .ends lmc7215
  8736.  
  8737. * Connections non-inverting input
  8738. * | inverting input
  8739. * | | positive power supply
  8740. * | | | negative power supply (ground)
  8741. * | | | | output
  8742. * | | | | |
  8743. .SUBCKT lmv331 3 2 8 4 1
  8744. * Features:
  8745. * 2.7V and 5V Single-Supply Operation
  8746. * Low Supply Current: 60uA at VCC=5V
  8747. * Input Common-mode Range Includes Ground
  8748. */////////////////////////////////////////
  8749. **************************************
  8750. vos 2 13 dc 0.0063
  8751. iee 8 10 dc 5e-4
  8752. rc_q1 11 4 303.44
  8753. rc_q2 12 4 303.44
  8754. re_q1 10 6 200
  8755. re_q2 10 7 200
  8756. q1 11 3 6 mq1
  8757. q2 12 13 7 mq2
  8758. gsup 8 4 33 4 1
  8759. ** Sets Icc
  8760. rsup 8 45 750000
  8761. dsup 45 4 mds
  8762. iis 4 33 dc -0.000446667
  8763. ris 33 4 1 TC=-0.000373134, 0
  8764. g1 4 25 12 11 10
  8765. rcl 25 4 10
  8766. dcl1 25 26 md0
  8767. dcl2 27 25 md0
  8768. vcl1 26 4 dc 9.4
  8769. vcl2 4 27 dc 9.4
  8770. g2 4 16 25 4 0.01
  8771. d3 16 18 md1
  8772. d4 17 16 md1
  8773. v1 18 4 dc 0
  8774. v2 4 17 dc 0
  8775. gb 4 20 12 11 100
  8776. rb 20 4 10
  8777. h1 22 4 poly(1) v1 0 748.395 -5483.95
  8778. h2 4 21 poly(1) v2 0 1211.03 -10110.3
  8779. db1 20 22 mdb1
  8780. db2 21 20 mdb1
  8781. gt 4 30 20 4 1e-5
  8782. rt 30 4 100k
  8783. ct 30 4 4.18061p
  8784. gc 4 35 30 4 0.005172
  8785. rc 35 4 1k
  8786. go 4 40 35 4 -0.01
  8787. ro 4 40 10
  8788. eob 41 40 45 4 1
  8789. ** Sets output Leakage
  8790. *rr 1 4 1meg
  8791. rr 1 4 3e9
  8792. co 40 4 1p
  8793. ** Sets output Vsat
  8794. voe 42 4 dc 0.1
  8795. *voe 42 4 dc -0.0477
  8796. qo 1 41 42 mqo
  8797. .model mq1 pnp bf=9614.38 xtb=2.27169
  8798. .model mq2 pnp bf=10415.7 xtb=2.27169
  8799. .model md0 d is=1e-10 rs=0.01
  8800. .model md1 d is=1p
  8801. .model mdb1 d cjo=0.2p
  8802. .model mds d is=1e-16
  8803. .model mqo npn bf=100 rc=13.6145 isc=2.7e-09
  8804. + br=10 nr=0.95 cjs=0.05p cje=0.01p
  8805. .ends lmv331
  8806.  
  8807. * pinout order +in -in +v -v out
  8808. * pinout order 1 3 5 2 4
  8809. .subckt lmv7271 1 3 5 2 4
  8810. r81 6 5 0.5
  8811. r82 2 7 0.5
  8812. r84 8 9 2.47e3
  8813. r85 10 11 0.5
  8814. r86 12 13 0.5
  8815. d21 4 5 dd
  8816. d22 2 4 dd
  8817. e25 12 0 2 0 1
  8818. e26 11 0 5 0 1
  8819. m24 14 15 7 7 nout l=3u w=2000u
  8820. m25 16 17 6 6 pout l=3u w=2000u
  8821. m26 18 18 10 10 pout l=3u w=2000u
  8822. m29 19 19 13 13 nout l=3u w=2000u
  8823. r96 20 17 100
  8824. r97 21 15 100
  8825. c32 9 4 8f
  8826. c36 4 0 0.1p
  8827. i28 18 19 0.5e-6
  8828. r105 4 16 50
  8829. r106 14 4 70
  8830. g16 22 23 8 23 -1e-3
  8831. g17 23 24 8 23 1e-3
  8832. g18 23 25 19 12 1e-3
  8833. g19 26 23 11 18 1e-3
  8834. d31 26 22 dd
  8835. d32 24 25 dd
  8836. r110 22 26 100e6
  8837. r111 25 24 100e6
  8838. r112 26 11 1e3
  8839. r113 12 25 1e3
  8840. e39 11 20 11 26 1
  8841. e40 21 12 25 12 1
  8842. r114 24 23 1e6
  8843. r115 25 23 1e6
  8844. r116 23 26 1e6
  8845. r117 23 22 1e6
  8846. r118 2 5 50e6
  8847. g20 5 2 27 0 -0.6e-6
  8848. d33 28 0 dd
  8849. v33 28 27 0.6
  8850. r119 0 27 1e6
  8851. i31 5 2 7.9u
  8852. i34 0 28 0.2u
  8853. e44 23 12 11 12 0.5
  8854. r120 29 30 1.2e6
  8855. d34 31 29 dd
  8856. d35 29 32 dd
  8857. v45 32 33 0.3
  8858. v46 34 31 0.3
  8859. c42 8 23 0.02p
  8860. r121 29 8 1.1e6
  8861. e45 34 23 35 0 1
  8862. e46 33 23 35 0 -1
  8863. d36 36 0 dd
  8864. i35 0 36 1.5e-6
  8865. v47 36 35 0.487
  8866. r122 0 35 1e9
  8867. d37 37 11 dd
  8868. d38 12 37 dd
  8869. g21 37 23 38 39 -0.2e-3
  8870. r123 23 37 3.5e6
  8871. c43 37 23 3p
  8872. m30 30 37 40 40 pout l=3u w=30u
  8873. m31 30 37 41 41 nout l=3u w=30u
  8874. g22 37 23 42 43 -0.2e-3
  8875. r124 44 45 2
  8876. r125 46 45 2
  8877. e47 47 0 11 0 1
  8878. e48 48 0 12 0 1
  8879. e49 49 0 50 0 1
  8880. r126 47 51 1e6
  8881. r127 48 52 1e6
  8882. r128 49 53 1e6
  8883. r129 0 51 100
  8884. r130 0 52 100
  8885. r131 0 53 100
  8886. e50 54 1 53 0 -0.65
  8887. r132 55 50 1e3
  8888. r133 50 56 1e3
  8889. c44 47 51 5p
  8890. c45 48 52 5p
  8891. c46 49 53 2p
  8892. e51 57 54 52 0 0.97
  8893. e52 58 57 51 0 0.97
  8894. m32 39 59 44 44 pin l=3u w=20u
  8895. m33 38 3 46 46 pin l=3u w=20u
  8896. r134 12 39 25e3
  8897. r135 12 38 25e3
  8898. c47 39 38 2p
  8899. c48 58 0 2p
  8900. c49 3 0 2p
  8901. v48 58 59 -0.3e-3
  8902. m34 43 60 61 61 nin l=3u w=20u
  8903. r136 62 61 2
  8904. m35 42 3 63 63 nin l=3u w=20u
  8905. r137 62 63 2
  8906. r138 43 11 25e3
  8907. r139 42 11 25e3
  8908. c50 43 42 2p
  8909. m36 64 65 66 66 pout l=6u w=500u
  8910. m37 67 68 11 11 pin l=6u w=500u
  8911. v50 11 65 1
  8912. m38 62 64 12 12 nin l=6u w=500u
  8913. m39 64 64 12 12 nin l=6u w=500u
  8914. e53 56 0 58 0 1
  8915. e54 55 0 3 0 1
  8916. m40 68 68 11 11 pin l=6u w=500u
  8917. i36 68 12 1e-6
  8918. v51 67 45 0
  8919. j6 69 58 69 jc
  8920. j7 69 3 69 jc
  8921. j8 3 70 3 jc
  8922. j9 58 70 58 jc
  8923. c51 58 3 4p
  8924. i37 58 0 10e-9
  8925. i38 3 0 10.2e-9
  8926. r140 66 67 1e3
  8927. v53 11 69 0.1
  8928. v54 70 12 0.1
  8929. v55 40 23 2.5
  8930. v56 41 23 -2.5
  8931. v58 59 60 0.5e-3
  8932. g23 5 2 5 2 -0.25e-6
  8933. .model dd d
  8934. .model jc njf is=1e-18
  8935. .model pin pmos kp=200u vto=-0.7
  8936. .model nin nmos kp=200u vto=0.7
  8937. .model nout nmos kp=200u vto=0.7 lambda=0.01
  8938. .model pout pmos kp=200u vto=-0.7 lambda=0.01
  8939. .ends
  8940.  
  8941.  
  8942. * Ultra-Fast, 7nS Propagation Delay (5mV overdrive)
  8943. * Rail-to-Rail Input Voltage Range
  8944. * Low Power: 8.5mA Per Comparator (+5V)
  8945. * Single 3V/5V Supply Operation
  8946. * Available in 8-Pin uMAX/SO (Single MAX961)
  8947. * 8-Pin uMAX/SO (Dual MAX962)
  8948. *
  8949. * PART NUMBER DESCRIPTION
  8950. * ___________ ___________________________________
  8951. * MAX961 Single, int HYST, 7nS delay, latch
  8952. * MAX962 Dual, int HYST, 7nS delay
  8953. *
  8954. *
  8955. * ////////////// MAX961 MACROMODEL //////////////////
  8956. *
  8957. *====> REFER TO MAX961 DATA SHEET <====
  8958. *
  8959. * connections: +IN
  8960. * | -IN
  8961. * | | V+
  8962. * | | | V-
  8963. * | | | |
  8964. * | | | | LE
  8965. * | | | | | GND QOUT QOUTCOMP
  8966. * | | | | | | | |
  8967. .subckt max961 1 2 3 4 352 300 433 483
  8968. *
  8969. * NOTE1: QOUTCOMP IS ACTUALLY COMPLIMENTED QOUT.
  8970. * NOTE2: INCLUDE
  8971. * .OPTION ITL4=50 RELTOL=0.001
  8972. * TO ENHANCE CONVERGENCE.
  8973. ***
  8974. f101 3 9 v1 1
  8975. Iee100 7 400 dc 100.0E-6
  8976. q101 9 20 7 qin
  8977. Q2 8 21 7 qin
  8978. Q3 9 8 399 qmo
  8979. Q4 8 8 399 qmi
  8980. VMB 400 4 0V
  8981. VPB 399 3 0V
  8982. ***================
  8983. VIN1 2 23 .95
  8984. VIN2 1 25 .95
  8985. ***
  8986. IPSUP 3 0 8.5ma
  8987. INSUP 0 4 8.5ma
  8988. ***
  8989. EHYST 23 20 POLY(1) 0 60 0 1
  8990. VS2 21 25 0V
  8991. .model qin NPN(Is=800.0E-18 Bf=3.33)
  8992. .model qmi PNP(Is=800.0E-18 Bf=1002)
  8993. .model qmo PNP(Is=800.0E-18 Bf=1000 Cjc=1f Tr=3.3E-9)
  8994. .MODEL PMOS PMOS
  8995. *(VTO=-1.7 KP=1.8E-3)
  8996. e1 10 4 3 9 2
  8997. v1 10 11 dc 0
  8998. q5 5 11 44 qoc
  8999. vshift 44 4 0v
  9000. *clamps output, Q5 collector load.
  9001. R55 3 5 1meg
  9002. DP5 5 3 DP
  9003. DP6 4 5 DP
  9004. ***============= Hysterisis section
  9005. GH 0 51 32 101 1E-6
  9006. ECM 101 0 3 4 0.5
  9007. RCM 101 0 10MEG
  9008. ****==============COMPARATOR POINT FOR CREATING LOGIC OUTPUT, +-1, hi,lo.
  9009. RH1 3 51 1E11
  9010. RH2 4 51 1E11
  9011. DP1 51 52 DP
  9012. DP2 53 51 DP
  9013. VP1 52 0 1V
  9014. VP2 53 0 -1V
  9015. ***=================
  9016. IHYST 55 0 -1E-9
  9017. *GENERATES 1MV OF HYST. TO MIMIC SMOOTH TRANSITION.
  9018. RREF 55 0 1E6
  9019. *LOGIC OUTPUT, NODE 60 ALTERS THE POLARITY, SO 55 SHOULD ALWAYS BE POS.
  9020. GMULT 60 0 POLY(2) 51 0 55 0 0 0 0 0 1E-6
  9021. RMULT 60 0 1E6
  9022. *================
  9023. *EH 3 98 3 4 0.5
  9024. VVIRTUAL 98 0 0V
  9025. G12 98 32 5 0 7.04E-3
  9026. R15 98 32 140
  9027. *========================
  9028. .model qoc NPN(Is=800.0E-18 Bf=181 Cjc=1f Tf=42p Tr=2E-9)
  9029. .MODEL DX D(Is=800.0E-18)
  9030. .MODEL DP D(N=0.001)
  9031. *=======================
  9032. ***== MODELS USED==***
  9033. .MODEL DX2 D(IS=1f n=0.001)
  9034. *** **********************************
  9035. *** **********************************
  9036. VCONNECT 302 32 0V
  9037. * AND1
  9038. * 302, 312 is the input, output is 333.
  9039. RN1 302 303 1MEG
  9040. DA1 305 330 DP
  9041. DA2 300 305 DP
  9042. RA2 304 305 1MEG
  9043. EA1 304 300 POLY(1) 302 303 0 10
  9044. ***
  9045. RN10 312 303 1MEG ;node 303 is 1.4volts.
  9046. DA10 315 330 DP
  9047. DA12A 300 315 DP
  9048. RA12 314 315 1MEG
  9049. EA11 314 300 POLY(1) 312 303 0 -10
  9050. *-10 COMPLIMENTS LE, SO LOW IS TRANSPARENT
  9051. ***
  9052. GAMULT 300 331 POLY(2) 305 300 315 300 0 0 0 0 1E-6
  9053. RAMULT 331 300 1G
  9054. DA5 331 330 DP
  9055. DA6 300 331 DP
  9056. EOA 332 300 331 300 1
  9057. ROA 332 333 100
  9058. ***
  9059. * AND2
  9060. * 352, 362 is the input, output is 383.
  9061. RN12 352 303 1MEG
  9062. ILE 352 300 -20UA
  9063. DA12 355 380 DP
  9064. DA22 300 355 DP
  9065. RA22 354 355 1MEG
  9066. EA12 354 300 POLY(1) 352 303 0 -10
  9067. *-10 COMPLIMENTS LE, SO LOW IS TRANSPARENT
  9068. ***
  9069. RN102 362 303 1MEG ;node 303 is 1.4volts.
  9070. DA102 365 380 DP
  9071. DA122 300 365 DP
  9072. RA122 364 365 1MEG
  9073. EA112 364 300 POLY(1) 362 303 0 10
  9074. ***
  9075. GAMULT2 300 381 POLY(2) 355 300 365 300 0 0 0 0 1E-6
  9076. RAMULT2 381 300 1G
  9077. DA52 381 330 DP
  9078. DA62 300 381 DP
  9079. EOA2 382 300 381 300 1
  9080. ROA2 382 383 100
  9081. ***
  9082. * OR1
  9083. * 402,412 are the inputs, output is 433
  9084. RO1 402 403 1MEG
  9085. VO14 403 300 1.4V
  9086. VO1 430 300 5V
  9087. DO1 405 430 DP
  9088. DO2 300 405 DP
  9089. RO2 404 405 1MEG ; WAS 1MEG
  9090. EO1 404 300 POLY(1) 402 403 0 10
  9091. ***
  9092. RO10 412 403 1MEG ;node 403 is 1.4volts.
  9093. DO10 415 430 DP
  9094. DO12 300 415 DP
  9095. RO12O 414 415 300 ; WAS 1MEG
  9096. EO11 414 300 POLY(1) 412 403 0 10
  9097. ***
  9098. GOADD1 300 431 POLY(2) 405 300 415 300 0 1E-6 1E-6
  9099. ROADD 431 300 1G
  9100. DO5 431 3 DP
  9101. DO6 300 431 DP
  9102. EOO 432 300 431 300 1
  9103. ROO 432 433 125 ; OUTPUT IS 433
  9104. * OR2
  9105. * 452,462 are the inputs, output is 488
  9106. RO12 452 403 1MEG
  9107. VO12 480 300 5V
  9108. DO12O 455 480 DP
  9109. DO22 300 455 DP
  9110. RO22 454 455 1MEG ; WAS 1MEG
  9111. EO12 454 300 POLY(1) 452 403 0 10
  9112. ***
  9113. RO102 462 403 1MEG ;node 403 is 1.4volts.
  9114. DO102 465 480 DP
  9115. DO122 300 465 DP
  9116. RO122 464 465 300 ; WAS 1MEG
  9117. EO112 464 300 POLY(1) 462 403 0 10
  9118. ***
  9119. GOADD12 300 481 POLY(2) 455 300 465 300 0 1E-6 1E-6
  9120. ROADD2 481 300 1G
  9121. DO52 481 3 DP
  9122. *** was 3 was 480
  9123. DO62 300 481 DP
  9124. EOO2 482 300 481 300 1
  9125. ROO2 482 483 125 ; OUTPUT IS 483
  9126. * INVERTER1
  9127. *INPUT 602, OUTPUT 605
  9128. RIN1 602 303 1MEG
  9129. DIA1 605 630 DP
  9130. DIA2 300 605 DP
  9131. vi1 630 300 5v
  9132. RIA2 604 605 10k
  9133. EIA1 604 300 POLY(1) 602 303 0 -10
  9134. * INVERTER2
  9135. *INPUT 612, OUTPUT 615
  9136. RIN12 612 303 1MEG
  9137. DIA12 615 640 DP
  9138. DIA22 300 615 DP
  9139. vi12 640 300 5v
  9140. RIA22 614 615 10k
  9141. EIA12 614 300 POLY(1) 612 303 0 -10
  9142. * INVERTER3
  9143. *INPUT 622, OUTPUT 625
  9144. RIN13 622 303 1MEG
  9145. DIA13 625 650 DP
  9146. DIA23 300 625 DP
  9147. vi13 650 300 5v
  9148. RIA23 624 625 10k
  9149. EIA13 624 300 POLY(1) 622 303 0 -10
  9150. *** ********BIASING****************
  9151. *** *******************************
  9152. VA14 303 300 1.4V
  9153. VA1 330 300 5V
  9154. *VDGND 300 0 0V ; **** Will be brought out in subckt.
  9155. ***
  9156. * CONNECTIONS *********************
  9157. VSH1 605 362 0V
  9158. VSH2 312 352 0V
  9159. VSH3 333 402 0V
  9160. VSH4 383 462 0V
  9161. VSH5 433 622 0V
  9162. VSH6 625 452 0V
  9163. VSH7 483 612 0V
  9164. VSH8 615 412 0V
  9165. VSH9 302 602 0V
  9166. .ENDS max961
  9167.  
  9168. *
  9169. * connections: non-inverting input
  9170. * | inverting input
  9171. * | | positive power supply
  9172. * | | | negative power supply
  9173. * | | | | output
  9174. * | | | | |
  9175. * | | | | |
  9176. .SUBCKT LM7301 3 2 4 5 6
  9177. *
  9178. *Features
  9179. *Greater than Rail-to-Rail Input
  9180. *Wide Supply Range 1.8 to 32 Volts
  9181. *Rail-to-Rail Output Swing
  9182. **************************************
  9183. *
  9184. EOX 120 10 31 32 2.0
  9185. RCX 120 121 1K
  9186. RDX 121 10 1K
  9187. RBX 120 122 1K
  9188. GOS 10 57 122 121 1.0
  9189. RVOS 31 32 1K
  9190. RINB 2 18 1000
  9191. RINA 3 19 1000
  9192. DIN1 5 18 DMOD2
  9193. DIN2 18 4 DMOD2
  9194. DIN3 5 19 DMOD2
  9195. DIN4 19 4 DMOD2
  9196. EXX 10 5 17 5 1.0
  9197. EEE 10 50 17 5 1.0
  9198. ECC 40 10 4 17 1.0
  9199. RAA 4 17 100MEG
  9200. RBB 17 5 100MEG
  9201. ISET 10 24 1e-3
  9202. DA1 24 23 DMOD1
  9203. RBAL 23 22 1000
  9204. ESUPP 22 21 4 5 1.0
  9205. VOFF 21 10 -1.25
  9206. DA2 24 25 DMOD1
  9207. VSENS1 25 26 DC 0
  9208. RSET 26 10 1K
  9209. CSET 26 10 1e-10
  9210. FSET 10 31 VSENS1 1.0
  9211. R001 34 10 1K
  9212. FTEMP 10 27 VSENS1 1.0
  9213. DTA 27 10 DMOD2
  9214. DTB 28 29 DMOD2
  9215. VTEMP 29 10 DC 0
  9216. ECMR 38 10 11 10 1.0
  9217. VCMX 38 39 DC 0
  9218. RCM2 41 10 1MEG
  9219. EPSR 42 10 4 10 1.0
  9220. CDC1 43 42 10U
  9221. VPSX 43 44 DC 0
  9222. RPSR2 45 10 1MEG
  9223. FCXX 57 10 VCXX 100
  9224. DCX1 98 97 DMOD1
  9225. DCX2 95 94 DMOD1
  9226. RCX1 99 98 100
  9227. RCX2 94 99 100
  9228. VCXX 99 96 DC 0
  9229. ECMX 96 10 11 10 1.0
  9230. DLIM1 52 57 DMOD1
  9231. DLIM2 57 51 DMOD1
  9232. ELIMP 51 10 26 10 99.3
  9233. GDM 10 57 3 2 1
  9234. C1 58 59 1e-10
  9235. DCLMP2 59 40 DMOD1
  9236. DCLMP1 50 59 DMOD1
  9237. RO2 59 10 1K
  9238. GO3 10 71 59 10 1
  9239. RO3 71 10 1
  9240. DDN1 73 74 DMOD1
  9241. DDN2 73 710 DMOD1
  9242. DDP1 75 72 DMOD1
  9243. DDP2 71 720 DMOD1
  9244. RDN2 710 71 100
  9245. RDP 720 72 100
  9246. VOOP 40 76 DC 0
  9247. VOON 77 50 DC 0
  9248. QNO 76 73 78 NPN1
  9249. QNP 77 72 79 PNP1
  9250. RNO 78 81 1
  9251. RPO 79 81 1
  9252. VOX 86 6 DC 0
  9253. RNT 76 81 100MEG
  9254. RPT 81 77 1MEG
  9255. FX 10 93 VOX 1.0
  9256. DFX1 93 91 DMOD1
  9257. VFX1 91 10 DC 0
  9258. DFX2 92 93 DMOD1
  9259. VFX2 10 92 DC 0
  9260. FPX 4 10 VFX1 1.0
  9261. FNX 10 5 VFX2 1.0
  9262. RAX 122 10 MRAX 1.012000e+03
  9263. * Input Offset Voltage
  9264. .MODEL MRAX RES (TC1=4e-06)
  9265. FIN1 18 5 VTEMP 0.996111
  9266. FIN2 19 5 VTEMP 1.00389
  9267. * Input Bias Currents
  9268. CIN1 2 10 1p
  9269. CIN2 3 10 1p
  9270. * Common Mode Input Capacitance
  9271. RD1 18 11 5e+06
  9272. RD2 19 11 5e+06
  9273. * Diff. Input Resistance
  9274. RCM 11 10 3.65e+07
  9275. * Common Mode Input Resistance
  9276. FCMR 10 57 VCMX 22.3872
  9277. * Low Freq. CMRR
  9278. FPSR 10 57 VPSX 11.2468
  9279. * Low Freq. PSRR
  9280. RSLOPE 4 5 1.25e+06
  9281. * Slope of Supp. Curr. vs. Supp. Volt.
  9282. GPWR 4 5 26 10 0.000716
  9283. * Quiescent Supply Current
  9284. ETEMP 27 28 32 33 0.240625
  9285. RIB 32 33 MRIB 1K
  9286. * Temp. Co. of Input Currents
  9287. .MODEL MRIB RES (TC1=0.00163946)
  9288. RISC 33 34 MRISC 1K
  9289. .MODEL MRISC RES (TC1=-0.002)
  9290. RCM1 39 41 223.872
  9291. CCM 41 10 7.95775e-11
  9292. * CMRR vs. Freq.
  9293. RPSR1 44 45 56.2341
  9294. CPSR 45 10 1.59155e-10
  9295. * PSRR vs. Freq.
  9296. ELIMN 10 52 26 10 100.087
  9297. RDM 57 10 2285.1
  9298. C2 57 10 8.70612p
  9299. ECMP 40 97 26 10 0.4
  9300. ECMN 95 50 26 10 0.4
  9301. G2 58 10 57 10 1.27e-06
  9302. R2 58 10 344.581
  9303. GO2 59 10 58 10 64
  9304. * Avol and Slew-Rate Settings
  9305. EPOS 40 74 26 10 0
  9306. ENEG 75 50 26 10 0.1
  9307. * Output Voltage Swing Settings
  9308. GSOURCE 74 73 33 34 0.000115
  9309. GSINK 72 75 33 34 9.8e-05
  9310. * Output Current Settings
  9311. ROO 81 86 47.5
  9312. .MODEL DMOD1 D
  9313. .MODEL DMOD2 D (IS=1e-17)
  9314. .MODEL NPN1 NPN (BF=100 IS=1p)
  9315. .MODEL PNP1 PNP (BF=100 IS=1p)
  9316. .ENDS LM7301
  9317.  
  9318.  
  9319. ***** AD8055an SPICE model Rev A SMR/ADI 8-26-97
  9320. * This model will give typical performance characteristics
  9321. * for the following parameters;
  9322. * closed loop gain and phase vs bandwidth
  9323. * output current and voltage limiting
  9324. * offset voltage (is static, will not vary with vcm)
  9325. * ibias (again, is static, will not vary with vcm)
  9326. * slew rate and step response performance
  9327. * (slew rate is based on 10-90% of step response)
  9328. * current on output will be reflected to the supplies
  9329. * vnoise, referred to the input
  9330. * inoise, referred to the input
  9331.  
  9332. * distortion is not characterized
  9333.  
  9334. * Node assignments
  9335. * non-inverting input
  9336. * | inverting input
  9337. * | | positive supply
  9338. * | | | negative supply
  9339. * | | | | output
  9340. * | | | | |
  9341. .SUBCKT AD8055an 1 2 99 50 17
  9342.  
  9343. * input stage *
  9344.  
  9345. q1 4 15 13 qn1
  9346. q2 5 2 14 qn1
  9347. i1 3 50 0.1
  9348. i2 50 99 0.1
  9349. r3 99 4 14.96
  9350. r4 99 5 14.96
  9351. r5 13 3 14.44
  9352. r6 14 3 14.44
  9353. cpole 4 5 26.61pf
  9354. cin1 1 98 2pf
  9355. cin2 2 98 2pf
  9356.  
  9357. * error stage
  9358.  
  9359. eos 1 15 poly(2) 30 98 92 0 3e-3 1 25e-9
  9360. gnoise1 98 1 33 98 1e-4
  9361. gnoise2 98 2 33 98 1e-4
  9362.  
  9363. * gain/bw stage
  9364.  
  9365. g1 99 9 poly(1) 5 4 0 0.067 0 0.022
  9366. g2 50 9 poly(1) 5 4 0 0.067 0 0.022
  9367. rgain1 99 9 53078
  9368. rgain2 50 9 53078
  9369. cgain1 99 9 71.42pf
  9370. cgain2 50 9 71.42pf
  9371. vlim1 99 18 2.46
  9372. vlim2 19 50 2.46
  9373. dlim1 9 18 d1
  9374. dlim2 19 9 d1
  9375.  
  9376. * vnoise stage *
  9377.  
  9378. rnoise1 39 98 0.46e-3
  9379. vnoise1 39 98 0
  9380. vnoise2 31 98 0.56
  9381. dnoise1 31 39 dn
  9382.  
  9383. fnoise1 30 98 vnoise1 1
  9384. rnoise2 30 98 1
  9385.  
  9386. * inoise stage *
  9387.  
  9388. rnoise3 32 98 0.166e-3
  9389. vnoise3 32 98 0
  9390. vnoise4 34 98 0.545
  9391. dnoise2 34 32 dn
  9392.  
  9393. fnoise2 33 98 vnoise3 1
  9394. rnoise4 33 98 1
  9395.  
  9396. * buffer stage
  9397.  
  9398. gbuf 98 12 9 98 1e-2
  9399. rbuf 98 12 100
  9400.  
  9401. * reference stage
  9402.  
  9403. eref1 98 0 poly(2) 99 0 50 0 0 0.5 0.5
  9404. eref2 97 0 poly(2) 1 0 2 0 0 0.5 0.5
  9405.  
  9406. * common mode rejection*
  9407.  
  9408. ecm1 96 0 98 97 23809
  9409. rcm2 96 95 23809
  9410. rcm1 95 94 1
  9411. lcm1 94 0 3.79e-6
  9412.  
  9413. ecm2 93 0 95 0 3332
  9414. rcm3 93 92 3332
  9415. rcm4 92 89 1
  9416. lcm2 89 0 0.53e-6
  9417.  
  9418. * output current reflected to supplies *
  9419.  
  9420. fcurr 98 40 vout 1
  9421. vcur1 26 98 0
  9422. vcur2 98 27 0
  9423. dcur1 40 26 d1
  9424. dcur2 27 40 d1
  9425.  
  9426. * output stage
  9427.  
  9428. vo1 99 90 0
  9429. vo2 91 50 0
  9430. fout1 0 99 poly(2) vo1 vcur1 -5.4e-3 1 -1
  9431. fout2 50 0 poly(2) vo2 vcur2 -5.4e-3 1 -1
  9432. gout1 90 16 12 99 1
  9433. gout2 91 16 12 50 1
  9434. rout1 16 90 1
  9435. rout2 16 91 1
  9436. vout 16 17 0
  9437. viclmp1 12 20 0.703
  9438. viclmp2 21 12 0.703
  9439. diclmp1 16 20 d1
  9440. diclmp2 21 16 d1
  9441.  
  9442. .model qn1 npn(bf=1e5)
  9443. .model d1 d()
  9444. .model dn d(af=1 kf=1e-8)
  9445. .ends ad8055an
  9446.  
  9447. .SUBCKT AD8330 CMGN CMOP CNTR COMM ENBL INHI INLO MODE OFST OPHI OPLO VDBS VMAG VPOS VPSI VPSO
  9448. .ic V(inHI)=2 v(inLO)=4
  9449. V_V2 N689226 N685811 0.175
  9450. C1 COMM INLO 4p
  9451. R18 N729515 GAINLO 10K
  9452. R1 VDBS COMM 100Meg
  9453. D2 INLO VPSI Dbreak
  9454. G11 VPSO COMM TABLE { V(CNTR2, COMM) }
  9455. + ( (0,0)(2.7,1.48m)(6,1.48m) )
  9456. G16 VPSO COMM TABLE { V(N712728, OPHI) }
  9457. + ( (-100,-1u)(0,0)(75,1) )
  9458. E_LIMIT1 DBS 0 VALUE {LIMIT(V(N642867),0,1.5)}
  9459. C7 0 N1013152 10p
  9460. R23 N1028228 N1013152 1
  9461. R13 N829851 OFST 480
  9462. G14 VPSO COMM TABLE { V(N897552, COMM) }
  9463. + ( (0,0)(2.7,18.5m)(6,18.5m) )
  9464. R14 0 N633004 1k
  9465. G18 N877957 N1013152 TABLE { V(N877957, N1013152) }
  9466. + ( (-1000,-10n)(0,0)(1m,10m) )
  9467. R4 INHI N632402 500
  9468. E17 N985107 0 GAIN3 0 0.5
  9469. E13 0 N729515 VALUE {V(N699213)+V(COMM,CNTR)}
  9470. R21 N985107 N699213 1k
  9471. E14 LINGAIN2 0 VALUE { if(V(N877957, 0)>0.5,V(LINGAIN),0) }
  9472. C6 0 N940675 300p
  9473. E4 GAIN1 0 VALUE {V(N633004)*V(DBSGAIN,0)}
  9474. G13 COMM CMGN TABLE { V(CNTR2, COMM) }
  9475. + ( (0,0)(2.7,200u)(6,200u) )
  9476. E12 N707872 0 VALUE {V(N699213)+V(CNTR,COMM)}
  9477. G8 N689651 GAINLO TABLE { V(N689651, GAINLO) }
  9478. + ( (-100,-1n)(0,0)(1m,10m)(2m,1) )
  9479. C5 0 N699213 0.5p
  9480. R17 N707872 GAIN4 10K
  9481. G12 COMM VMAG TABLE { V(CNTR2, COMM) }
  9482. + ( (0,0)(2.7,100u)(6,100u) )
  9483. L2 N6584861 N662042 0.015u
  9484. E3 CNTR2 COMM VALUE { if(V(ENBL, COMM)>0.5,V(VPSO),0) }
  9485. G7 GAINLO N685811 TABLE { V(GAINLO, N685811) }
  9486. + ( (-100,-1n)(0,0)(1m,10m)(2m,1) )
  9487. R16 N6584861 GAIN3 12.0
  9488. R24 OFST COMM 1G
  9489. R8 MODE VPOS 1Meg
  9490. R6 OPHI N712728 75
  9491. G4 MCLAMP GAIN3 TABLE { V(MCLAMP, GAIN3) }
  9492. + ( (-100,-1n)(0,0)(1m,100m) )
  9493. E11 N695171 0 COMM 0 1
  9494. E1 N941057 0 N621192 0 1.6667
  9495. R22 N941057 N940675 1K
  9496. E9 MCLAMP 0 0 LINGAIN 2
  9497. R19 ENBL COMM 1E9
  9498. E16 N718317 COMM GAINLO 0 1
  9499. E20 N818867 COMM CNTR COMM 1.5
  9500. V4 N818867 N829851 0.75
  9501. X_H1 N632402 OFST N633004 N1033056 AD8330_H1
  9502. E15 N712728 COMM GAIN4 0 1
  9503. V_V3 N689651 N695171 0.175
  9504. D3 COMM INHI Dbreak
  9505. G3 GAIN3 PCLAMP TABLE { V(GAIN3, PCLAMP) }
  9506. + ( (-100,-1n)(0,0)(1m,100m) )
  9507. G5 GAIN4 N685811 TABLE { V(GAIN4, N685811) }
  9508. + ( (-100,-1n)(0,0)(1m,10m)(2m,1) )
  9509. G9 CMOP CNTR CNTR2 CMOP 125u
  9510. D4 COMM INLO Dbreak
  9511. G17 VPSO COMM TABLE { V(N718317, OPLO) }
  9512. + ( (-100,-1u)(0,0)(75,1) )
  9513. R15 OPLO N718317 75
  9514. R12 CMOP CNTR 4K
  9515. G6 N689651 GAIN4 TABLE { V(N689651, GAIN4) }
  9516. + ( (-100,-1n)(0,0)(1m,10m)(2m,1) )
  9517. R9 CMGN COMM 1K
  9518. R2 COMM VMAG 5K
  9519. E6 GAIN2 0 VALUE {V(GAIN1)*V(LINGAIN2,0)}
  9520. E2 N621192 0 VALUE { if(V(MODE, COMM)>=1.5,V(DBS),1.5-V(DBS)) }
  9521. X_H2 OFST N632210 N1033056 0 AD8330_H2
  9522. E18 N642867 0 VALUE {V(VDBS,COMM)+V(COMM,CMGN)}
  9523. E10 N689226 0 VPSO COMM 1
  9524. R20 N1013152 N877957 2880
  9525. E21 N897552 COMM VALUE { if(V(ENBL, COMM)>2.3,V(VPSO),0) }
  9526. E8 PCLAMP 0 LINGAIN 0 2
  9527. G15 N941057 N940675 TABLE { V(N941057, N940675) }
  9528. + ( (-1000,-10n)(0,0)(1m,1000m) )
  9529. E5 N1028228 0 VALUE { if(V(ENBL, COMM)>2.3,1,0) }
  9530. D1 INHI VPSI Dbreak
  9531. E7 LINGAIN 0 TABLE { V(N6574242) }
  9532. + ( (0,0)(0.5,1)(1,1.95)(2,3.7)(3,5.5)(4,7.2)(4.7,8.4)(4.9,8.5)(5,8.5) )
  9533. R5 INLO N632210 500
  9534. E_ABM1 DBSGAIN 0 VALUE { 10**(V(N940675)) }
  9535. C2 COMM INHI 4p
  9536. C4 0 N877957 100p
  9537. G2 GAIN2 N662042 TABLE { V(GAIN2, N662042) }
  9538. + ( (-100,-210m)(-1m,-200m)(0,0)(1m,200m)(100,210m) )
  9539. C3 0 GAIN3 100p
  9540. G10 VPOS COMM TABLE { V(VPOS, COMM) }
  9541. + ( (-100,-1n)(0,0)(2.7,20u)(6,20u) )
  9542. E19 N6574242 0 VALUE {V(VMAG,COMM)+V(COMM,CMGN)}
  9543. .model Dbreak D Is=1e-10 Cjo=.01pF Rs=1m N=1 bv=5
  9544. rmy N662042 0 1Gig
  9545. .subckt AD8330_H1 1 2 3 4
  9546. H1 3 4 V1 500
  9547. V1 1 2 0V
  9548. .ends AD8330_H1
  9549. .subckt AD8330_H2 1 2 3 4
  9550. H2 3 4 V2 500
  9551. V2 1 2 0V
  9552. .ends AD8330_H2
  9553. .ENDS AD8330
  9554.  
  9555.  
  9556. * Rail-to-Rail Outputs
  9557. * Ultra-Low-Voltage
  9558. * Available in 8-Pin SO/uMAX (MAX965/MAX966)
  9559. * 16-Pin Narrow-SO/QSOP (MAX969)
  9560. * 14-Pin SO/16-Pin QSOP (MAX970)
  9561. *
  9562. *
  9563. * PART NUMBER DESCRIPTION
  9564. * ___________ _____________________________________
  9565. * MAX965 Single, With (1.235V) Internal Reference and Programmable Hysteresis
  9566. * connections: +IN
  9567. * | -IN
  9568. * | | V+
  9569. * | | | V-
  9570. * | | | | HYST.
  9571. * | | | | | REF.
  9572. * | | | | | | OUT.
  9573. * | | | | | | |
  9574. .subckt max965 1 2 3 4 49 235 97
  9575. *
  9576. f101 3 9 v1 1
  9577. iee100 7 400 dc 100.0E-6
  9578. q101 9 20 7 qin
  9579. q2 8 21 7 qin
  9580. q3 9 8 399 qmo
  9581. q4 8 8 399 qmi
  9582. VMB 400 4 0V
  9583. VPB 399 3 0V
  9584. RIN1 2 23 2K
  9585. RIN2 1 25 2K
  9586. DCM2 70 3 DP
  9587. DCM1 4 24 DP
  9588. VCM1 70 24 1.3V
  9589. DCM3 4 26 DP
  9590. VCM2 71 26 1.3V
  9591. DCM4 71 3 DP
  9592. IPSUP 0 3 2.5523948MA
  9593. INSUP 0 4 -2.5523948MA
  9594. EHYST 23 20 POLY(1) 0 60 0 1
  9595. VS2 21 25 0V
  9596. .model qin NPN(Is=800.0E-18 Bf=5.000E6)
  9597. .model qmi PNP(Is=800.0E-18 Bf=1002)
  9598. .model qmo PNP(Is=800.0E-18 Bf=1000 Cjc=1f Tr=6.662E-6)
  9599. .MODEL PMOS PMOS
  9600. *(VTO=-1.7 KP=1.8E-3)
  9601. e1 10 4 3 9 2
  9602. v1 10 11 dc 0
  9603. q5 5 11 44 qoc
  9604. vshift 44 4 0v
  9605. R55 3 5 10K
  9606. DP5 5 3 DP
  9607. DP6 4 5 DP
  9608. ***============= Hysterisis section
  9609. RINH 49 50 200
  9610. I50 50 0 12ua
  9611. D50 50 200 DX
  9612. EREFER 200 0 235 4 1
  9613. D51 4 50 DX
  9614. GH 0 51 97 0 1E-6
  9615. RH1 3 51 1E9
  9616. RH2 4 51 1E9
  9617. DP1 51 52 DP
  9618. DP2 53 51 DP
  9619. VP1 52 0 1V
  9620. VP2 53 0 -1V
  9621. ***=================
  9622. GHYST 55 0 50 0 1E-6
  9623. GREF 0 55 235 4 1E-6
  9624. RREF 55 0 1E6
  9625. DP10 55 56 DP
  9626. DP20 57 55 DP
  9627. VP10 56 0 50MV
  9628. VP20 57 0 0MV
  9629. *LOGIC OUTPUT, NODE 60 ALTERS THE POLARITY, SO 55 SHOULD ALWAYS BE POS.
  9630. GMULT 60 0 POLY(2) 51 0 55 0 0 0 0 0 1E-6
  9631. RMULT 60 0 1E6
  9632. *EH 3 98 3 4 0.5
  9633. VVIRTUAL 98 0 0V
  9634. F5 3 38 VA8 1
  9635. D9 40 38 DX
  9636. D10 38 3 DX
  9637. VA7 3 40 0
  9638. F6 3 4 VA7 1
  9639. G12 98 32 5 0 7.04E-3
  9640. R15 98 32 142
  9641. D3 36 41 DX
  9642. D4 42 37 DX
  9643. V5 34 36 -.63
  9644. V4 37 34 -.65
  9645. ****V4,V5 SET ISC
  9646. R16 41 35 300
  9647. R17 42 35 300
  9648. E11 3 33 3 32 1
  9649. VA8 33 34 0V
  9650. VL 35 97 0
  9651. .model qoc NPN(Is=800.0E-18 Bf=2.069E3 Cjc=1f Tf=25.53E-9 Tr=6.983E-6)
  9652. .MODEL DX D(Is=800.0E-18)
  9653. .MODEL DP D(N=0.001 rs=100)
  9654. * MAX921-924 VOLTAGE REF.
  9655. VREF 301 4 1.235V
  9656. VSHORT 235 302 0V
  9657. RIN200 301 302 1MEG
  9658. F226 3 4 VA227 1
  9659. F225 3 238 VA228 1
  9660. D229 240 238 DX
  9661. D230 238 3 DX
  9662. VA227 3 240 0
  9663. G232 0 232 301 302 1E-3
  9664. R235 0 232 1E6
  9665. C235 0 232 6800PF
  9666. D223 232 236 DX2
  9667. D224 237 232 DX2
  9668. V225 235 237 1.8mV
  9669. V224 236 235 .9mV
  9670. R236 234 235 60
  9671. E221 3 233 3 232 1
  9672. VA228 233 234 0V
  9673. .MODEL DX2 D(IS=1f n=0.001)
  9674. *.MODEL DX D(IS=1f)
  9675. .ends
  9676.  
  9677. .Subckt BAS70_06 k1 a k2
  9678. d1 a k1 b70
  9679. d2 a k2 b70
  9680. .model b70 D is=3n rs=29 n=1.06 tt=25p cjo=1.55p vj=.36 m=.29 eg=.68 xti=1.8 fc=.5 bv=70 ibv=100n
  9681. .ends
  9682.  
  9683. .Subckt BAS70_05 a1 k a2
  9684. d1 a1 k b70
  9685. d2 a2 k b70
  9686. .model b70 D is=3n rs=29 n=1.06 tt=25p cjo=1.55p vj=.36 m=.29 eg=.68 xti=1.8 fc=.5 bv=70 ibv=100n
  9687. .ends
  9688.  
  9689. .Subckt BAS70_04 a ka k
  9690. d1 a ka b70
  9691. d2 ka k b70
  9692. .model b70 D is=3n rs=29 n=1.06 tt=25p cjo=1.55p vj=.36 m=.29 eg=.68 xti=1.8 fc=.5 bv=70 ibv=100n
  9693. .ends
  9694.  
  9695. .SUBCKT BAT54S a ka k
  9696. R1 a ka 3.6E+07
  9697. D1 a ka BA
  9698. R2 ka k 3.6E+07
  9699. D2 ka k BA
  9700. .MODEL BA D IS=211.7n N=1.016 BV=36 IBV=1.2u RS=2.64 CJO=11.14p VJ=.2013 M=.3868 FC=0 EG=.69 XTI=2
  9701. .ends
  9702.  
  9703. * 70v 215ma 3us si dual switching diode pkg:sot-23
  9704. .subckt bav199 a ka k
  9705. d1 a ka d199
  9706. d2 ka k d199
  9707. .model d199 d is=0.5n n=1.75 bv=70 ibv=1.4u cjo=2.7p vj=.75 m=.333 tt=4u
  9708. .ends bav199
  9709.  
  9710. .subckt bav99 a ka k
  9711. .model d d bv=164 ibv=13m is=5n rs=1.9 n=2 tt=8n eg=1.11 xti=3 cjo=0.8p m=0.33 vj=0.75
  9712. d1 a ka d
  9713. d2 ka k d
  9714. .ends bav99
  9715.  
  9716.  
  9717. .subckt bav23s a ka k
  9718. .MODEL d D IS=237n RS=0.260 BV=200 IBV=100n CJO=3.05p M=0.333 N=2.69 TT=72.0n
  9719. d1 a ka d
  9720. d2 ka k d
  9721. .ends bav23s
  9722.  
  9723.  
  9724.  
  9725. .Subckt Sw10to1 com N1 N2 N3 N4 N5 N6 N7 N8 N9 N10 p status=1
  9726. v1 p0 0 dc {status}
  9727. r1 p p0 1k
  9728. c1 n1 0 0.1p
  9729. c2 com 0 0.1p
  9730. c3 n2 0 0.1p
  9731. c4 n3 0 0.1p
  9732. c5 n4 0 0.1p
  9733. c6 n5 0 0.1p
  9734. c7 n6 0 0.1p
  9735. c8 n7 0 0.1p
  9736. c9 n8 0 0.1p
  9737. c10 n9 0 0.1p
  9738. c11 n10 0 0.1p
  9739. c12 n11 0 0.1p
  9740. c13 n12 0 0.1p
  9741. b1 p1 0 v=u( (v(p)-0.6)*(1.4-v(p)) )
  9742. b2 p2 0 v=u( (v(p)-1.6)*(2.4-v(p)) )
  9743. b3 p3 0 v=u( (v(p)-2.6)*(3.4-v(p)) )
  9744. b4 p4 0 v=u( (v(p)-3.6)*(4.4-v(p)) )
  9745. b5 p5 0 v=u( (v(p)-4.6)*(5.4-v(p)) )
  9746. b6 p6 0 v=u( (v(p)-5.6)*(6.4-v(p)) )
  9747. b7 p7 0 v=u( (v(p)-6.6)*(7.4-v(p)) )
  9748. b8 p8 0 v=u( (v(p)-7.6)*(8.4-v(p)) )
  9749. b9 p9 0 v=u( (v(p)-8.6)*(9.4-v(p)) )
  9750. b10 p10 0 v=u( (v(p)-9.6)*(10.4-v(p)) )
  9751. s1 com n1 p1 0 sw1 off
  9752. s2 com n2 p2 0 sw1 off
  9753. s3 com n3 p3 0 sw1 off
  9754. s4 com n4 p4 0 sw1 off
  9755. s5 com n5 p5 0 sw1 off
  9756. s6 com n6 p6 0 sw1 off
  9757. s7 com n7 p7 0 sw1 off
  9758. s8 com n8 p8 0 sw1 off
  9759. s9 com n9 p9 0 sw1 off
  9760. s10 com n10 p10 0 sw1 off
  9761. .model sw1 sw vt=0.5 vh=0.1 ron=0.1 roff=10gig
  9762. .ends Sw10to1
  9763.  
  9764. .Subckt Sw10to1Short com N1 N2 N3 N4 N5 N6 N7 N8 N9 N10 p status=1
  9765. v1 p0 0 dc {status}
  9766. r1 p p0 1k
  9767. c1 n1 0 0.1p
  9768. c2 com 0 0.1p
  9769. c3 n2 0 0.1p
  9770. c4 n3 0 0.1p
  9771. c5 n4 0 0.1p
  9772. c6 n5 0 0.1p
  9773. c7 n6 0 0.1p
  9774. c8 n7 0 0.1p
  9775. c9 n8 0 0.1p
  9776. c10 n9 0 0.1p
  9777. c11 n10 0 0.1p
  9778. b1 p1 0 v=u( (v(p)-0.4)*(1.6-v(p)) )
  9779. b2 p2 0 v=u( (v(p)-1.4)*(2.6-v(p)) )
  9780. b3 p3 0 v=u( (v(p)-2.4)*(3.6-v(p)) )
  9781. b4 p4 0 v=u( (v(p)-3.4)*(4.6-v(p)) )
  9782. b5 p5 0 v=u( (v(p)-4.4)*(5.6-v(p)) )
  9783. b6 p6 0 v=u( (v(p)-5.4)*(6.6-v(p)) )
  9784. b7 p7 0 v=u( (v(p)-6.4)*(7.6-v(p)) )
  9785. b8 p8 0 v=u( (v(p)-7.4)*(8.6-v(p)) )
  9786. b9 p9 0 v=u( (v(p)-8.4)*(9.6-v(p)) )
  9787. b10 p10 0 v=u( (v(p)-9.4)*(10.6-v(p)) )
  9788. s1 com n1 p1 0 sw1 off
  9789. s2 com n2 p2 0 sw1 off
  9790. s3 com n3 p3 0 sw1 off
  9791. s4 com n4 p4 0 sw1 off
  9792. s5 com n5 p5 0 sw1 off
  9793. s6 com n6 p6 0 sw1 off
  9794. s7 com n7 p7 0 sw1 off
  9795. s8 com n8 p8 0 sw1 off
  9796. s9 com n9 p9 0 sw1 off
  9797. s10 com n10 p10 0 sw1 off
  9798. .model sw1 sw vt=0.5 vh=0.1 ron=0.1 roff=10gig
  9799. .ends Sw10to1Short
  9800.  
  9801.  
  9802. .Subckt sw12to1 N1 com N2 N3 N4 N5 N6 N7 N8 N9 N10 N11 N12 p status=1
  9803. V1 p0 0 dc {status}
  9804. r1 p p0 1k
  9805. C1 N1 0 0.1p
  9806. C2 com 0 0.1p
  9807. C3 N2 0 0.1p
  9808. C4 N3 0 0.1p
  9809. C5 N4 0 0.1p
  9810. C6 N5 0 0.1p
  9811. C7 N6 0 0.1p
  9812. C8 N7 0 0.1p
  9813. C9 N8 0 0.1p
  9814. C10 N9 0 0.1p
  9815. C11 N10 0 0.1p
  9816. C12 N11 0 0.1p
  9817. C13 N12 0 0.1p
  9818. b1 p1 0 v=u( (v(p)-0.6)*(1.4-v(p)) )
  9819. b2 p2 0 v=u( (v(p)-1.6)*(2.4-v(p)) )
  9820. b3 p3 0 v=u( (v(p)-2.6)*(3.4-v(p)) )
  9821. b4 p4 0 v=u( (v(p)-3.6)*(4.4-v(p)) )
  9822. b5 p5 0 v=u( (v(p)-4.6)*(5.4-v(p)) )
  9823. b6 p6 0 v=u( (v(p)-5.6)*(6.4-v(p)) )
  9824. b7 p7 0 v=u( (v(p)-6.6)*(7.4-v(p)) )
  9825. b8 p8 0 v=u( (v(p)-7.6)*(8.4-v(p)) )
  9826. b9 p9 0 v=u( (v(p)-8.6)*(9.4-v(p)) )
  9827. b10 p10 0 v=u( (v(p)-9.6)*(10.4-v(p)) )
  9828. b11 p11 0 v=u( (v(p)-10.6)*(11.4-v(p)) )
  9829. b12 p12 0 v=u( (v(p)-11.6)*(12.4-v(p)) )
  9830. s1 com n1 p1 0 sw1 off
  9831. s2 com n2 p2 0 sw1 off
  9832. s3 com n3 p3 0 sw1 off
  9833. s4 com n4 p4 0 sw1 off
  9834. s5 com n5 p5 0 sw1 off
  9835. s6 com n6 p6 0 sw1 off
  9836. s7 com n7 p7 0 sw1 off
  9837. s8 com n8 p8 0 sw1 off
  9838. s9 com n9 p9 0 sw1 off
  9839. s10 com n10 p10 0 sw1 off
  9840. s11 com n11 p11 0 sw1 off
  9841. s12 com n12 p12 0 sw1 off
  9842. .model sw1 sw vt=0.5 vh=0.1 ron=0.1 roff=10Gig
  9843. .ends
  9844.  
  9845. .Subckt Sw12to2V com1 N1 N2 N3 N4 N5 N6 N7 N8 N9 N10 N11 N12 com2 p status=1
  9846. V1 p0 0 dc {status}
  9847. r1 p p0 1k
  9848. c1 n1 0 0.1p
  9849. cc1 com1 0 0.1p
  9850. cc2 com2 0 0.1p
  9851. c3 n2 0 0.1p
  9852. c4 n3 0 0.1p
  9853. c5 n4 0 0.1p
  9854. c6 n5 0 0.1p
  9855. c7 n6 0 0.1p
  9856. c8 n7 0 0.1p
  9857. c9 n8 0 0.1p
  9858. c10 n9 0 0.1p
  9859. c11 n10 0 0.1p
  9860. c12 n11 0 0.1p
  9861. c13 n12 0 0.1p
  9862. b1 p1 0 v=u( (v(p)-0.6)*(1.4-v(p)) )
  9863. b2 p2 0 v=u( (v(p)-1.6)*(2.4-v(p)) )
  9864. b3 p3 0 v=u( (v(p)-2.6)*(3.4-v(p)) )
  9865. b4 p4 0 v=u( (v(p)-3.6)*(4.4-v(p)) )
  9866. b5 p5 0 v=u( (v(p)-4.6)*(5.4-v(p)) )
  9867. b6 p6 0 v=u( (v(p)-5.6)*(6.4-v(p)) )
  9868. *
  9869. s1 com1 n1 p1 0 sw1 off
  9870. s2 com1 n2 p2 0 sw1 off
  9871. s3 com1 n3 p3 0 sw1 off
  9872. s4 com1 n4 p4 0 sw1 off
  9873. s5 com1 n5 p5 0 sw1 off
  9874. s6 com1 n6 p6 0 sw1 off
  9875. s7 com2 n7 p1 0 sw1 off
  9876. s8 com2 n8 p2 0 sw1 off
  9877. s9 com2 n9 p3 0 sw1 off
  9878. s10 com2 n10 p4 0 sw1 off
  9879. s11 com2 n11 p5 0 sw1 off
  9880. s12 com2 n12 p6 0 sw1 off
  9881. .model sw1 sw vt=0.5 vh=0.1 ron=0.1 roff=10gig
  9882. .ends Sw12to2
  9883.  
  9884. .Subckt Sw10to2 com1 N1 N2 N3 N4 N5 N6 N7 N8 N9 N10 com2 p status=1
  9885. V1 p0 0 dc {status}
  9886. r1 p p0 1k
  9887. c1 n1 0 0.1p
  9888. cc1 com1 0 0.1p
  9889. cc2 com2 0 0.1p
  9890. c3 n2 0 0.1p
  9891. c4 n3 0 0.1p
  9892. c5 n4 0 0.1p
  9893. c6 n5 0 0.1p
  9894. c7 n6 0 0.1p
  9895. c8 n7 0 0.1p
  9896. c9 n8 0 0.1p
  9897. c10 n9 0 0.1p
  9898. c11 n10 0 0.1p
  9899. b1 p1 0 v=u( (v(p)-0.6)*(1.4-v(p)) )
  9900. b2 p2 0 v=u( (v(p)-1.6)*(2.4-v(p)) )
  9901. b3 p3 0 v=u( (v(p)-2.6)*(3.4-v(p)) )
  9902. b4 p4 0 v=u( (v(p)-3.6)*(4.4-v(p)) )
  9903. b5 p5 0 v=u( (v(p)-4.6)*(5.4-v(p)) )
  9904. *
  9905. s1 com1 n1 p1 0 sw1 off
  9906. s2 com1 n2 p2 0 sw1 off
  9907. s3 com1 n3 p3 0 sw1 off
  9908. s4 com1 n4 p4 0 sw1 off
  9909. s5 com1 n5 p5 0 sw1 off
  9910. *
  9911. s6 com1 n6 p1 0 sw1 off
  9912. s7 com2 n7 p2 0 sw1 off
  9913. s8 com2 n8 p3 0 sw1 off
  9914. s9 com2 n9 p4 0 sw1 off
  9915. s10 com2 n10 p5 0 sw1 off
  9916. .model sw1 sw vt=0.5 vh=0.1 ron=0.1 roff=10gig
  9917. .ends Sw10to2
  9918.  
  9919. .Subckt SLIDE_SWITCH_STSSS2231 com1 N1 N2 N3 com2 N4 N5 N6 p status=1
  9920. V1 p0 0 dc {status}
  9921. r1 p p0 1k
  9922. cc1 com1 0 0.1p
  9923. cc2 com2 0 0.1p
  9924. c1 n1 0 0.1p
  9925. c3 n2 0 0.1p
  9926. c4 n3 0 0.1p
  9927. c5 n4 0 0.1p
  9928. c6 n5 0 0.1p
  9929. c7 n6 0 0.1p
  9930. b1 p1 0 v=u( (v(p)-0.6)*(1.4-v(p)) )
  9931. b2 p2 0 v=u( (v(p)-1.6)*(2.4-v(p)) )
  9932. b3 p3 0 v=u( (v(p)-2.6)*(3.4-v(p)) )
  9933. *
  9934. s1 com1 n1 p1 0 sw1 off
  9935. s2 com1 n2 p2 0 sw1 off
  9936. s3 com1 n3 p3 0 sw1 off
  9937. s4 com2 n4 p1 0 sw1 off
  9938. s5 com2 n5 p2 0 sw1 off
  9939. s6 com2 n6 p3 0 sw1 off
  9940. .model sw1 sw vt=0.5 vh=0.1 ron=70m roff=10gig
  9941. .ends
  9942.  
  9943. .subckt knopka 1 2 p
  9944. S1 2 1 p 0 knop off
  9945. R1 p 0 1k
  9946. .model knop sw ron=1m roff=10G vt=0.5 vh=50m
  9947. .ends knopka
  9948.  
  9949. .subckt knopka2 1 2 3 4 p
  9950. S1 3 1 p 0 knop off
  9951. S2 4 2 p 0 knop off
  9952. R1 p 0 1k
  9953. .model knop sw ron=1m roff=10G vt=0.5 vh=50m
  9954. .ends knopka2
  9955.  
  9956. .subckt transform2 1 2 3 4 n1=100 n2=100 Al=1u r1=1m r2=1m k=1
  9957. L1 1 2 {Al*n1*n1} rser={r1}
  9958. L2 3 4 {Al*n2*n2} rser={r2}
  9959. k1 L1 L2 {k}
  9960. .ends
  9961.  
  9962.  
  9963. .subckt transform3 1 2 3 4 5 6 n1=100 n2=100 n3=100 Al=1u r1=1m r2=1m r3=1m k=1
  9964. L1 1 2 {Al*n1*n1} rser={r1}
  9965. L2 3 4 {Al*n2*n2} rser={r2}
  9966. L3 5 6 {Al*n3*n3} rser={r3}
  9967. k1 L1 L2 L3 {k}
  9968. .ends
  9969.  
  9970. .subckt transform4 1 2 3 4 5 6 7 8 n1=100 n2=100 n3=100 n4=100 Al=1u r1=1m r2=1m r3=1m r4=1m k=1
  9971. L1 1 2 {Al*n1*n1} rser={r1}
  9972. L2 3 4 {Al*n2*n2} rser={r2}
  9973. L3 5 6 {Al*n3*n3} rser={r3}
  9974. L4 7 8 {Al*n4*n4} rser={r4}
  9975. k1 L1 L2 L3 L4 {k}
  9976. .ends
  9977.  
  9978. .SUBCKT XFMR1 1 2 3 4 n1=100 n2=10
  9979. RP 1 2 1MEG
  9980. E1 5 4 1 2 {n2/n1}
  9981. F1 1 2 VM {n2/n1}
  9982. RS 6 3 1U
  9983. VM 5 6
  9984. .ENDS XFMR1
  9985.  
  9986. .SUBCKT XFMR2 1 2 3 4 10 11 n1=100 n2=10 n3=10
  9987. RP 1 2 1MEG
  9988. E1 5 4 1 2 {n2/n1}
  9989. F1 1 2 VM1 {n2/n1}
  9990. RS1 6 3 1U
  9991. VM1 5 6
  9992. E2 20 11 2 1 {n3/n1}
  9993. F2 2 1 VM2 {n3/n1}
  9994. RS2 21 10 1U
  9995. VM2 20 21
  9996. .ENDS XFMR2
  9997.  
  9998. .subckt indnonlin 1 2 Hc=16. Bs=.44 Br=.10 A=0.0000251
  9999. + Lm=0.0198 Lg=0.0006858 N=1000
  10000. *
  10001. L 1 2 Hc={HC} Bs={Bs} Br={Br} A={A}
  10002. + Lm={Lm} Lg={Lg} N={N}
  10003. .ends
  10004.  
  10005. *SRC=4N39;Opto-Isolators;SCR Output;7.5kV
  10006. *SYM=OPTOSCR
  10007. .SUBCKT 4N39 17 18 1 3 2
  10008. * LA LC A K G
  10009. QP 6 4 1 POUT; OFF
  10010. QN 4 6 5 NOUT; OFF
  10011. RF 6 4 10.6MEG
  10012. RR 1 4 7.11MEG
  10013. RGK 6 5 5.62K
  10014. RG 2 6 92.3
  10015. RK 3 5 .233
  10016. DF 6 4 ZF
  10017. DR 1 4 ZR
  10018. DGK 6 5 ZGK
  10019. .MODEL ZF D (IS=.12F IBV=3.75U BV=200 RS=1.6MEG)
  10020. .MODEL ZR D (IS=.12F IBV=3.75U BV=266)
  10021. .MODEL ZGK D (IS=.12F IBV=3.75U BV=6)
  10022. .MODEL POUT PNP (IS=120F BF=1 CJE=1.34P)
  10023. .MODEL NOUT NPN (IS=120F BF=100 RC=.933
  10024. + CJE=1.34P CJC=286F TF=18.95U TR=1.27M)
  10025. .MODEL DLED D (IS=140P RS=520M N=2.63 BV=6 IBV=10U
  10026. + CJO=50P VJ=.75 M=.333 TT=432N)
  10027. H1 2 0 VLED -.8 93.3
  10028. VLED 17 16
  10029. D1 16 18 DLED
  10030. .ENDS
  10031. **********
  10032. *SRC=4N40;Opto-Isolators;SCR Output;7.5kV
  10033. *SYM=OPTOSCR
  10034. .SUBCKT 4N40 17 18 1 3 2
  10035. * TERMINALS: LA LC A K G
  10036. QP 6 4 1 POUT; OFF
  10037. QN 4 6 5 NOUT; OFF
  10038. RF 6 4 16MEG
  10039. RR 1 4 10.6MEG
  10040. RGK 6 5 5.62K
  10041. RG 2 6 92.3
  10042. RK 3 5 .233
  10043. DF 6 4 ZF
  10044. DR 1 4 ZR
  10045. DGK 6 5 ZGK
  10046. .MODEL ZF D (IS=.12F IBV=5U BV=400 RS=2.4MEG)
  10047. .MODEL ZR D (IS=.12F IBV=5U BV=533)
  10048. .MODEL ZGK D (IS=.12F IBV=5U BV=6)
  10049. .MODEL POUT PNP (IS=120F BF=1 CJE=1.34P)
  10050. .MODEL NOUT NPN (IS=120F BF=100 RC=.933
  10051. + CJE=1.34P CJC=268F TF=14.47U TR=637U)
  10052. .MODEL DLED D (IS=140P RS=520M N=2.63 BV=6 IBV=10U
  10053. + CJO=50P VJ=.75 M=.333 TT=432N)
  10054. H1 2 0 VLED -.8 93.3
  10055. VLED 17 16
  10056. D1 16 18 DLED
  10057. .ENDS 4N40
  10058.  
  10059.  
  10060. .Subckt Lazer com lk pha pw Pnom=100m inom=150m ith=35m iphnom=0.1m Pth=1m
  10061. + cLd=10p cph=40p rsLd=1 nLd=2 isLD=1e-17 tauLD=1n tauFd=5n
  10062. .param k=(Pnom-Pth*inom/ith)/(inom-ith)
  10063. .param kf=iphnom/Pnom
  10064. .param ctau=0.001*tauFd
  10065. cLd com lk {cLD}
  10066. cph com pha {cph}
  10067. D1 5 lk0 dLd
  10068. D10 com lk0 dLd2
  10069. rs lk0 lk {rsLd}
  10070. VAm1 com 5 0
  10071. rut lk com 10Meg
  10072. v1 ith 0 {ith}
  10073. *v3 kf 0 {iphnom/Pnom}
  10074. Hled pwled 0 vam1 {2*Pth/ith}
  10075. B1 pw pwled v=uramp(2*i(vam1)-v(ith))*{k}
  10076. CtauFd pwtau 0 {ctau}
  10077. Rtau pwtau pw 1k
  10078. B2 com pha i=v(pwtau)*{kf}
  10079. .model dLd D is={isLd/2} n={nLd} eg={nLd*1.11}
  10080. .model dLd2 D is={isLd/2} n={nLd} eg={nLd*1.11} tt={tauLD*2}
  10081. .ends Lazer
  10082.  
  10083. .Subckt Lazer3 com lk pw Pnom=1 inom=1200m ith=240m Pth=1m
  10084. + cLd=10p rsLd=1 nLd=2 isLD=1e-17 tauLD=1n
  10085. .param k=(Pnom-Pth*inom/ith)/(inom-ith)
  10086. c com lk {cLd}
  10087. D1 5 lk0 dLd
  10088. D10 com lk0 dLd2
  10089. rs lk0 lk {rsLd}
  10090. VAm1 com 5 0
  10091. rut lk com 10Meg
  10092. v1 ith 0 {ith}
  10093. Hled pwled 0 vam1 {2*Pth/ith}
  10094. B1 pw pwled v=uramp(2*i(vam1)-v(ith))*k
  10095. .model dLd D is={isLd/2} n={nLd} eg={nLd*1.11}
  10096. .model dLd2 D is={isLd/2} n={nLd} eg={nLd*1.11} tt={tauLD*2}
  10097. .ends Lazer3
  10098.  
  10099. .Subckt Lazer3L com lk0 pw Pnom=1 inom=1200m ith=240m Pth=1m
  10100. +l=12n cLd=10p rsLd=1 nLd=2 isLD=1e-17 tauLD=1n
  10101. .param k=(Pnom-Pth*inom/ith)/(inom-ith)
  10102. l lk0 lk {l}
  10103. c com lk {cLd}
  10104. D1 5 lk0 dLd
  10105. D10 com lk0 dLd2
  10106. rs lk0 lk {rsLd}
  10107. VAm1 com 5 0
  10108. rut lk com 10Meg
  10109. v1 ith 0 {ith}
  10110. Hled pwled 0 vam1 {2*Pth/ith}
  10111. B1 pw pwled v=uramp(2*i(vam1)-v(ith))*k
  10112. .model dLd D is={isLd/2} n={nLd} eg={nLd*1.11}
  10113. .model dLd2 D is={isLd/2} n={nLd} eg={nLd*1.11} tt={tauLD*2}
  10114. .ends Lazer3L
  10115.  
  10116. .Subckt Lazer2 com lk pha pw Pnom=100m inom=150m ith=35m iphnom=0.1m Pth=1m
  10117. + cLd=10p cph=40p rsLd=1 nLd=2 isLD=1e-17 tauLD=.1n tauLD2=1n tauFd=5n
  10118. .param k=(Pnom-Pth*inom/ith)/(inom-ith)
  10119. .param kf=iphnom/Pnom
  10120. .param ctau=0.001*tauFd
  10121. cLd com lk {cLD}
  10122. cph com pha {cph}
  10123. D1 5 lk0 dLd
  10124. D10 com lk0 dLd2
  10125. rs lk0 lk {rsLd}
  10126. VAm1 com 5 0
  10127. rut lk com 10Meg
  10128. v1 ith 0 {ith}
  10129. *v3 kf 0 {iphnom/Pnom}
  10130. Hled pwled 0 vam1 {2*Pth/ith}
  10131. B1 pwled pw i=uramp(2*i(vam1)-v(ith))*{k}
  10132. Rb1 pwled pw 1
  10133. Ctalight pwled pw {tauLD2}
  10134. CtauFd pwtau 0 {ctau}
  10135. Rtau pwtau pw 1k
  10136. B2 com pha i=v(pwtau)*{kf}
  10137. .model dLd D is={isLd/2} n={nLd} eg={nLd*1.11}
  10138. .model dLd2 D is={isLd/2} n={nLd} eg={nLd*1.11} tt={tauLD*2}
  10139. .ends Lazer2
  10140.  
  10141. .Subckt Lazer2L com lk0 pha pw Pnom=100m inom=150m ith=35m iphnom=0.1m Pth=1u
  10142. +L=12n cLd=10p cph=40p rsLd=1 nLd=2 isLD=1e-17 tauLD=.1n tauLD2=1n tauFd=5n
  10143. .param k=(Pnom-Pth*inom/ith)/(inom-ith)
  10144. .param kf=iphnom/Pnom
  10145. .param ctau=0.001*tauFd
  10146. L lk0 lk {L}
  10147. cLd com lk {cLD}
  10148. cph com pha {cph}
  10149. D1 5 lk0 dLd
  10150. D10 com lk0 dLd2
  10151. rs lk0 lk {rsLd}
  10152. VAm1 com 5 0
  10153. rut lk com 10Meg
  10154. v1 ith 0 {ith}
  10155. *v3 kf 0 {iphnom/Pnom}
  10156. Hled pwled 0 vam1 {2*Pth/ith}
  10157. B1 pwled pw i=uramp(2*i(vam1)-v(ith))*{k}
  10158. Rb1 pwled pw 1
  10159. Ctalight pwled pw {tauLD2}
  10160. CtauFd pwtau 0 {ctau}
  10161. Rtau pwtau pw 1k
  10162. B2 com pha i=v(pwtau)*{kf}
  10163. .model dLd D is={isLd/2} n={nLd} eg={nLd*1.11}
  10164. .model dLd2 D is={isLd/2} n={nLd} eg={nLd*1.11} tt={tauLD*2}
  10165. .ends Lazer2L
  10166.  
  10167. .Subckt SPL_PL90_3 A K P l=7n
  10168. D1 A 4 diode
  10169. D2 A 5 D2_diode
  10170. VAm1 5 4 0
  10171. R1 4 6 0.12
  10172. L1 6 K {l}
  10173. C1 P 0 1p
  10174. b1 7 0 v=table(v(8), 0,0, 0.75,0, 7,20, 14,40, 20,55, 30,75, 40,92, 45,98, 50,104, 60,115, 80,130, 100,142, 120,152, 140,160, 200,170 )
  10175. H1 8 0 VAm1 2
  10176. R2 7 P 1K
  10177. .model diode D is=50f n=6.5 tt=0.5n cjo=100p
  10178. .model D2_diode D is=50f n=6.5
  10179. .ends
  10180.  
  10181. .Subckt BCV61c N1 N2 N3 N4
  10182. Q4 N1 N1 N4 qbcv61c
  10183. Q1 N2 N1 N3 qbcv61c
  10184. .model qbcv61c npn IS=2.375E-14 NF=0.9925 ISE=5.16E-16 NE=1.3 BF=524.9 IKF=0.09 VAF=49.77
  10185. + NR=0.9931 ISC=7.064p NC=1.78 BR=10.04 IKR=0.132 VAR=16 RB=10 IRB=5u RBM=5 RE=0.653
  10186. + RC=0.78 XTB=0 EG=1.11 XTI=3 CJE=1.132E-11 VJE=0.7685 MJE=0.3733 TF=4.258E-10 XTF=6.319
  10187. + VTF=6.4 ITF=0.1845 PTF=0 CJC=3.379p VJC=0.5444 MJC=0.3968 XCJC=0.6193 TR=9.5E-08 FC=0.999
  10188. .ends
  10189. .subckt bcv62c n1 n2 n3 n4
  10190. q4 n1 n1 n4 qbcv62c
  10191. q1 n2 n1 n3 qbcv62c
  10192. .model qbcv62c pnp IS=3.258E-14 NF=0.999 ISE=3.003f NE=1.45 BF=515.4 IKF=0.066 VAF=25
  10193. +NR=0.9985 ISC=4.393f NC=1.2 BR=15.26 IKR=0.039 VAR=8 RB=10 IRB=5E-06 RBM=5 RE=0.7071
  10194. + RC=0.58 XTB=0 EG=1.11 XTI=3 CJE=1.024E-11 VJE=0.9 MJE=0.453 TF=5.971E-10 XTF=4.137
  10195. +VTF=6.31 ITF=0.2108 PTF=0 CJC=6.345p VJC=0.4254 MJC=0.423 XCJC=0.6288 TR=3.5E-08 FC=0.78
  10196. .ends
  10197.  
  10198.  
  10199. .Subckt KT972A c b e
  10200. R1 4 e 400
  10201. Q1 c b 4 bj
  10202. D1 e c diode
  10203. Q2 c 4 e bj area=10
  10204. .model bj npn is=200f bf=150 rc=5 vaf=100 ikf=0.1 ise=1.2n ne=2 nr=1 isc=10n nc=4
  10205. +rb=150 irb=1m rbm=10 re=0.1 cje=80p tf=1n itf=0.1 cjc=20p xcjc=0.5 tr=200n xtb=1.5
  10206. .model diode D rs=1 tt=200n cjo=80p bv=60 ibv=10u
  10207. .ends
  10208.  
  10209. .Subckt KT973A c b e
  10210. R1 4 e 400
  10211. Q1 c b 4 tra
  10212. D1 c e diode
  10213. Q2 c 4 e tra area=10
  10214. .model tra pnp is=200f bf=150 vaf=100 ikf=0.1 ise=1.2n ne=2 nr=1 isc=10n nc=4 rb=150 irb=1m
  10215. + rbm=10 re=0.1 rc=1.2 cje=80p tf=1n itf=0.1 cjc=20p xcjc=0.5 tr=200n xtb=1.5
  10216. .model diode D rs=1 tt=200n cjo=80p bv=60 ibv=10u
  10217. .ends
  10218.  
  10219. *SRC=TIP120;TIP120;BJTs NPN;Darlington;60V 5A
  10220. .SUBCKT TIP120 1 2 3
  10221. * TERMINALS: C B E
  10222. * 60 Volt 5 Amp NPN Darlington Transistor 08-03-1995
  10223. Q1 1 2 4 QPWR .1
  10224. Q2 1 4 3 QPWR
  10225. R1 2 4 10K
  10226. R2 4 3 150
  10227. D1 3 1 DSUB
  10228. .MODEL QPWR NPN (IS=6P NF=1 BF=116 VAF=139 IKF=3.2 ISE=376P NE=2
  10229. + BR=4 NR=1 VAR=20 IKR=4.8 RE=.33 RB=1.32 RC=.132 XTB=1.5
  10230. + CJE=676P VJE=.74 MJE=.45 CJC=97.5P VJC=1.1 MJC=.24 TF=85.3N TR=3.68U)
  10231. .MODEL DSUB D (IS=6P N=1 RS=.33 BV=60 IBV=.001 CJO=97.5P TT=3.68U)
  10232. .ENDS
  10233.  
  10234.  
  10235. .SUBCKT tip121 1 2 3
  10236. * Model generated on Feb 8, 2004
  10237. * Model format: PSpice
  10238. * Darlington macro model
  10239. * External node designations
  10240. * Node 1 -> Collect
  10241. * Node 2 -> Base
  10242. * Node 3 -> Emitter
  10243. Q1 1 2 4 qmodel
  10244. Q2 1 4 3 q1model 2.80758
  10245. D1 3 1 dmodel
  10246. R1 2 4 10000
  10247. R2 4 3 1000
  10248. .MODEL dmodel d
  10249. +IS=1p RS=10 N=1 XTI=3
  10250. +CJO=0 VJ=0.75 M=0.33 FC=0.5
  10251. .MODEL qmodel npn
  10252. +IS=3.29109e-14 BF=391.787 NF=0.894469 VAF=33.1384
  10253. +IKF=0.198445 ISE=1.21403e-10 NE=1.6375 BR=0.1
  10254. +NR=1.34795 VAR=135.277 IKR=0.137744 ISC=1.01287e-13
  10255. +NC=1.97483 RB=4.90772 IRB=0.200762 RBM=4.90772
  10256. +RE=0.0826202 RC=0.413101 XTB=0.584577 XTI=2.92564 EG=1.05
  10257. +CJE=2.09731e-10 VJE=0.95 MJE=0.23 TF=1e-09
  10258. +XTF=1 VTF=10 ITF=0.01 CJC=1.53283e-10
  10259. +VJC=0.95 MJC=0.23 XCJC=0.9 FC=0.5
  10260. +TR=1e-07 PTF=0 KF=0 AF=1
  10261. .MODEL q1model npn
  10262. +IS=3.29109e-14 BF=391.787 NF=0.894469 VAF=33.1384
  10263. +IKF=0.198445 ISE=1.21403e-10 NE=1.6375 BR=0.1
  10264. +NR=1.34795 VAR=135.277 IKR=0.137744 ISC=1.01287e-13
  10265. +NC=1.97483 RB=4.90772 IRB=0.200762 RBM=4.90772
  10266. +RE=0.0826202 RC=0.413101 XTB=0.584577 XTI=2.92564 EG=1.05
  10267. +CJE=2.09731e-10 VJE=0.95 MJE=0.23 TF=1e-09
  10268. +XTF=1 VTF=10 ITF=0.01 CJC=0
  10269. +VJC=0.95 MJC=0.23 XCJC=0.9 FC=0.5
  10270. +TR=1e-07 PTF=0 KF=0 AF=1
  10271. .ENDS
  10272. .SUBCKT tip127 1 2 3
  10273. * Model generated on Dec 26, 2003
  10274. * Model format: PSpice
  10275. * Darlington macro model
  10276. * External node designations
  10277. * Node 1 -> Collect
  10278. * Node 2 -> Base
  10279. * Node 3 -> Emitter
  10280. Q1 1 2 4 qmodel
  10281. Q2 1 4 3 q1model 2.84905
  10282. D1 1 3 dmodel
  10283. R1 2 4 10000
  10284. R2 4 3 1000
  10285. .MODEL dmodel d
  10286. +IS=1p RS=10 N=1 XTI=3
  10287. +CJO=0 VJ=0.75 M=0.33 FC=0.5
  10288. .MODEL qmodel pnp
  10289. +IS=2.2383e-14 BF=390.271 NF=0.874443 VAF=38.5083
  10290. +IKF=0.202108 ISE=1.49947e-10 NE=1.64874 BR=0.1
  10291. +NR=1.32278 VAR=134.629 IKR=0.177707 ISC=1.03339e-13
  10292. +NC=1.97553 RB=4.89811 IRB=0.200734 RBM=4.89811
  10293. +RE=0.089979 RC=0.449895 XTB=0.584937 XTI=2.92881 EG=1.05
  10294. +CJE=2.09764e-10 VJE=0.95 MJE=0.23 TF=1e-09
  10295. +XTF=1 VTF=10 ITF=0.01 CJC=1.53285e-10
  10296. +VJC=0.95 MJC=0.23 XCJC=0.9 FC=0.5
  10297. +TR=1e-07 PTF=0 KF=0 AF=1
  10298. .MODEL q1model pnp
  10299. +IS=2.2383e-14 BF=390.271 NF=0.874443 VAF=38.5083
  10300. +IKF=0.202108 ISE=1.49947e-10 NE=1.64874 BR=0.1
  10301. +NR=1.32278 VAR=134.629 IKR=0.177707 ISC=1.03339e-13
  10302. +NC=1.97553 RB=4.89811 IRB=0.200734 RBM=4.89811
  10303. +RE=0.089979 RC=0.449895 XTB=0.584937 XTI=2.92881 EG=1.05
  10304. +CJE=2.09764e-10 VJE=0.95 MJE=0.23 TF=1e-09
  10305. +XTF=1 VTF=10 ITF=0.01 CJC=0
  10306. +VJC=0.95 MJC=0.23 XCJC=0.9 FC=0.5
  10307. +TR=1e-07 PTF=0 KF=0 AF=1
  10308. .ENDS
  10309.  
  10310. *SRC=FZT705;FZT705;BJTs PNP;Darlington;Zetex
  10311. .SUBCKT FZT705 1 2 3
  10312. * C B E
  10313. Q1 1 2 4 SUB704
  10314. Q2 1 4 3 SUB704 4
  10315. *
  10316. .MODEL SUB704 PNP IS=3.35584E-14 BF=85 VAF=212 NF=1.002 IKF=.817
  10317. +ISE=3.6E-13 NE=4.1 BR=24 VAR=6 NR=.999 IKR=.114 ISC=1.406E-13 NC=1.13
  10318. +RB=1.1 RE=.4 RC=.0339 CJE=100p CJC=37p VJC=1.045 MJC=.595
  10319. .ENDS
  10320.  
  10321.  
  10322. .subckt kt3165a c b e
  10323. de ev 1 de
  10324. dc cv 1 dc
  10325. q cv 1 ev kt
  10326. rbmin b 1 5
  10327. lc cv c 3n
  10328. lb bv b 4n
  10329. le ev e 4n
  10330. cce cv ev 60f
  10331. .model de d is=3p n=1.5 bv=3 ibv=1u eg=1.11
  10332. .model dc d is=1p n=2 bv=40 ibv=.1u eg=1.11
  10333. .model kt pnp bf=60 is=.5p rb=20 rc=5 ikf=50m ikr=25m mje=0.35 vaf=90 cje=2p vje=0.7 xcjc=0.1 cjc=0.7p mjc=.33 vjc=.7 tf=130p tr=10n itf=15m eg=1.11 br=2 fc=.5 vtf=10 xtf=2
  10334. .ends
  10335.  
  10336.  
  10337. .subckt bft92 200 100 300
  10338. LBI 1 10 0.85nH
  10339. LEI 3 30 0.69nH
  10340. CCB 10 20 84fF
  10341. CCE 20 30 165fF
  10342. CBE 30 10 73fF
  10343. LBO 10 100 0.51nH
  10344. LCO 20 200 0.49nH
  10345. LEO 30 300 0.61nH
  10346. Q1 20 1 3 M1BFT92
  10347. .MODEL M1BFT92 PNP
  10348. + IS=4.37563E-016 BF=3.35815E+001 NF=1.00972
  10349. + VAF=2.33946E+001 IKF=9.95381E-002 ISE=8.70539E-014 NE=1.94395 BR=4.94721 NR=1.00254
  10350. + VAR=3.90385 IKR=5.28157m ISC=3.58864E-014 NC=1.39333 RB=5 RE=1 RC=10 EG=1.11 XTI=3
  10351. + CJE=7.46659E-013 VJE=6.00000E-001 MJE=3.56829E-001 TF=1.74921E-011 XTF=1.35455
  10352. + VTF=1.55654E-001 ITF=1.00000E-003 PTF=4.50000E+001 CJC=9.37103E-013 VJC=3.96455E-001
  10353. + MJC=1.99949E-001 XCJC=1.06000E-001 TR=8.42200E-009 FC=7.67856E-001
  10354. .ends
  10355. .SUBCKT BFT92W 1 2 3
  10356. *SOT323
  10357. Q1 6 7 8 BFT92P
  10358. L1 2 4 0.34NH
  10359. L2 6 1 0.10NH
  10360. L3 5 3 0.34NH
  10361. LB 4 7 0.60NH
  10362. LE 8 5 0.60NH
  10363. CCB 4 6 100FF
  10364. CBE 4 5 2FF
  10365. CCE 5 6 100FF
  10366. .MODEL BFT92P PNP
  10367. + IS=4.37563E-016 BF=3.35815E+001 NF=1.00972
  10368. + VAF=2.33946E+001 IKF=9.95381E-002 ISE=8.70539E-014 NE=1.94395 BR=4.94721 NR=1.00254
  10369. + VAR=3.90385 IKR=5.28157m ISC=3.58864E-014 NC=1.39333 RB=5 RE=1 RC=10 EG=1.11 XTI=3
  10370. + CJE=7.46659E-013 VJE=6.00000E-001 MJE=3.56829E-001 TF=1.74921E-011 XTF=1.35455
  10371. + VTF=1.55654E-001 ITF=1.00000E-003 PTF=4.50000E+001 CJC=9.37103E-013 VJC=3.96455E-001
  10372. + MJC=1.99949E-001 XCJC=1.06000E-001 TR=8.42200E-009 FC=7.67856E-001
  10373. .ENDS
  10374.  
  10375.  
  10376. .subckt bft93 200 100 300
  10377. LBI 1 10 0.85nH
  10378. LEI 3 30 0.69nH
  10379. CCB 10 20 84fF
  10380. CCE 20 30 165fF
  10381. CBE 30 10 73fF
  10382. LBO 10 100 0.51nH
  10383. LCO 20 200 0.49nH
  10384. LEO 30 300 0.61nH
  10385. Q1 20 1 3 M1BFT93
  10386. .MODEL M1BFT93 PNP
  10387. + ISE=1.6295e-14 IKR=0.012081 BF=80 FC=0.54298 VAR=9.5149
  10388. + CJC=2.6904p NC=1.038 CJE=2.0636e-14 NE=1.3702 NF=1.0313
  10389. + BR=16.116 RB=3.2133 RC=1.1393 RE=1.9597 MJC=0.5401
  10390. + TF=5.4303e-11 MJE=0.68352 IRB=0.00046855 NR=1.2907 CJS=0
  10391. + RBM=2.0822 ITF=0.0030573 VJC=1.0282 VJE=0.84456 TR=3.4233e-10
  10392. + XCJC=0.075977 PTF=0 MJS=0 EG=1.11 XTB=0
  10393. + VTF=0.19311 XTF=0.27447 VJS=0.75 XTI=3 IKF=0.47497
  10394. + IS=1.0366f VAF=8.4866 ISC=9.4971e-17
  10395. .ends
  10396.  
  10397. .subckt bfr92 200 100 300
  10398. LBI 1 10 0.85nH
  10399. LEI 3 30 0.69nH
  10400. CCB 10 20 84fF
  10401. CCE 20 30 165fF
  10402. CBE 30 10 73fF
  10403. LBO 10 100 0.51nH
  10404. LCO 20 200 0.49nH
  10405. LEO 30 300 0.61nH
  10406. Q1 20 1 3 M1BFR92P
  10407. .MODEL M1BFR92P NPN
  10408. + ISE=1.2955e-13 IKR=0.01 BF=94.733 FC=0.99545 VAR=14.599
  10409. + CJC=9.4647e-13 NC=1.371 CJE=1.0416e-14 NE=1.9052 NF=1.0947
  10410. + BR=10.729 RB=14.998 RC=0.13793 RE=0.29088 MJC=0.4085
  10411. + TF=2.6796e-11 MJE=0.34686 IRB=1.652e-05 NR=0.8983 CJS=0
  10412. + RBM=7.8145 ITF=0.0044601 VJC=0.84079 VJE=0.70618 TR=1.2744e-09
  10413. + XCJC=0.13464 PTF=0 MJS=0 EG=1.11 XTB=0
  10414. + VTF=0.32861 XTF=0.3817 VJS=0.75 XTI=3 IKF=0.46227
  10415. + IS=1.213e-16 VAF=30 ISC=7.5557e-16
  10416. .ends
  10417.  
  10418. .subckt fr93a 200 100 300
  10419. LBI 1 10 0.85nH
  10420. LEI 3 30 0.69nH
  10421. CCB 10 20 84fF
  10422. CCE 20 30 165fF
  10423. CBE 30 10 73fF
  10424. LBO 10 100 0.51nH
  10425. LCO 20 200 0.49nH
  10426. LEO 30 300 0.61nH
  10427. Q1 20 1 3 M1BFR93A
  10428. .MODEL M1BFR93A NPN
  10429. + ISE=2.6193p IKR=0.015129 BF=137.63 FC=0.75935 VAR=26.834
  10430. + CJC=1.0395p NC=1.95 CJE=3.1538f NE=1.5466 NF=0.93633
  10431. + BR=59 RB=7.2326 RC=0.13193 RE=1.0075 MJC=0.34565
  10432. + TF=3.3388e-11 MJE=0.5071 IRB=4.3806e-05 NR=0.88761 CJS=0
  10433. + RBM=3.4649 ITF=0.0025184 VJC=0.72744 VJE=0.70393 TR=1.1061e-09
  10434. + XCJC=0.21422 PTF=0 MJS=0 EG=1.11 XTB=0
  10435. + VTF=0.17765 XTF=0.28319 VJS=0.75 XTI=3 IKF=0.33395
  10436. + IS=8.6752f VAF=20.011 ISC=7.0823e-16
  10437. .ends
  10438.  
  10439. .subckt bfp405 200 100 300
  10440. lbi 1 10 0.47nh
  10441. lci 2 20 0.56nh
  10442. lei 3 30 0.23nh
  10443. ccb 10 20 6.9ff
  10444. cce 20 30 134ff
  10445. cbe 30 10 136ff
  10446. lbo 10 100 0.53nh
  10447. lco 20 200 0.58nh
  10448. leo 30 300 0.05nh
  10449. dce 3 2 ce1
  10450. .model ce1 d is=2.00f n=1.02 rs=20
  10451. q1 2 1 3 m1bfp405
  10452. .model m1bfp405 npn ise=1.5761e-14 ikr=0.25052 bf=83.23 fc=0.99469 var=34.368 cjc=9.6941e-14 nc=1.3152
  10453. + cje=3.7265f ne=1.7763 nf=1.0405 br=10.526 rb=15 rc=0.12691 re=1.9289 mjc=0.48652 tf=4.5899p
  10454. + mje=0.37747 irb=0.00021215 nr=0.96647 rbm=1.3491 itf=0.0013364 vjc=0.99532 vje=0.70367 tr=1.4935n
  10455. + xcjc=0.08161 ptf=0 vtf=0.19762 xtf=0.3641 xti=3 ikf=0.16493 is=2.1024e-16 vaf=39.251 isc=3.7223e-17
  10456. .ends
  10457.  
  10458. * BFP620F packaged transistor subcircuit
  10459. * Tony Casey, April 2010, tony@ritecom.com
  10460. *---------------Collector Base Emitter
  10461. * | | |
  10462. .SUBCKT BFP620F 1 2 3
  10463. CCB N002 N001 2f
  10464. CBE N001 N006 34f
  10465. CCE N002 N006 33f
  10466. LBO N001 2 0.22n Rser=0.0008
  10467. LBI N004 N001 0.417n Rser=0.154
  10468. LCI N003 N002 0.374n Rser=0.135
  10469. LCO N002 1 0.22n Rser=0.0005
  10470. LEI N005 N006 0.256n Rser=0.111
  10471. LEO N006 3 0.284n Rser=0.0011
  10472. Q1 N003 N004 N005 0 BFP620_Chip
  10473. .model npn npn
  10474. .model pnp pnp
  10475. * Bond wire coupling factors
  10476. KBEO LBO LEO 0.1033
  10477. KBCO LBO LCO 0.0105
  10478. KCEO LEO LCO 0.1138
  10479. * Leadframe coupling factors
  10480. KBEI LBI LEI -0.0503
  10481. KBCI LBI LCI -0.075
  10482. KCEI LEI LCI 0.1986
  10483. .MODEL BFP620_Chip NPN(
  10484. + IS=2.200E-16
  10485. + NF=1.025E+00
  10486. + BF=4.250E+02
  10487. + NE=2.000E+00
  10488. + ISE=2.100E-14
  10489. + NR=1.000E+00
  10490. + BR=5.000E+01
  10491. + NC=2.000E+00
  10492. + ISC=1.800E-11
  10493. + VAF=1.000E+03
  10494. + VAR=2.000E+00
  10495. + IKF=2.500E-01
  10496. + IKR=1.000E-02
  10497. + RB=3.129E+00
  10498. + RBM=2.707E+00
  10499. + IRB=1.522E-03
  10500. + RE=6.000E-01
  10501. + RC=2.364E+00
  10502. + XTB=-1.420E+00
  10503. + EG=1.078E+00
  10504. + XTI=3.000E+00
  10505. + TF=1.430p
  10506. + VTF=1.500E+00
  10507. + XTF=1.000E+01
  10508. + ITF=2.400E+00
  10509. + CJE=2.507E-13
  10510. + VJE=7.500E-01
  10511. + MJE=3.000E-01
  10512. + CJC=1.249E-13
  10513. + VJC=6.000E-01
  10514. + MJC=5.000E-01
  10515. + XCJC=1.000E+00
  10516. + CJS=1.281E-13
  10517. + VJS=5.200E-01
  10518. + MJS=5.000E-01
  10519. + FC=8.000E-01
  10520. + TR=2.000E-10
  10521. + PTF=0.000E+00
  10522. + AF=2.000E+00
  10523. + KF=7.291E-11
  10524. + TNOM=25 )
  10525. .ends
  10526.  
  10527.  
  10528. *
  10529. .SUBCKT BFG425W 1 2 3
  10530. L1 2 5 1.1E-09
  10531. L2 1 4 1.1E-09
  10532. L3 3 6 0.25E-09
  10533. Ccb 4 5 2.0f
  10534. Cbe 5 6 80.0f
  10535. Cce 4 6 80.0f
  10536. Cbpb 5 7 1.45E-13
  10537. Cbpc 4 8 1.45E-13
  10538. Rsb1 6 7 25
  10539. Rsb2 6 8 19
  10540. Q1 4 5 6 NPN1
  10541. .MODEL NPN1 NPN IS=4.717E-17 BF=145 NF=0.9934 VAF=31.12 IKF=0.304 ISE=3.002E-13 NE=3 BR=11.37
  10542. + NR=0.985 VAR=1.874 IKR=0.121 ISC=4.848E-16 NC=1.546 RB=14.41 IRB=0 RBM=6.175 RE=0.1779 RC=1.78
  10543. + CJE=3.109E-13 VJE=0.9 MJE=0.3456 CJC=1.377E-13 VJC=0.5569 MJC=0.2079 CJS=6.675E-13 VJS=0.4183
  10544. + MJS=0.2391 XCJC=0.5 TR= 0.0 TF=4.122p XTF=68.2 VTF=2.004 ITF=1.525 PTF=0
  10545. + FC=0.5501 EG=1.11 XTI=3 XTB=1.5
  10546. .ENDS
  10547.  
  10548. *Si 60W 60V 8A 50MHz PwrAmp pkg:TO-220 2,1,3
  10549. .SUBCKT D44H8 1 2 3
  10550. * TERMINALS: C B E
  10551. Q1 1 2 3 QPWR .67
  10552. Q2 1 4 3 QPWR .33
  10553. RBS 2 4 30.5
  10554. .MODEL QPWR NPN (IS=590F NF=1 BF=131 VAF=139 IKF=2.67 ISE=395P NE=2
  10555. + BR=4 NR=1 VAR=20 IKR=4 RE=41.4M RB=0.166 RC=16.6M XTB=1.5
  10556. + CJE=1.28N VJE=0.6 MJE=0.3 CJC=245P VJC=0.22 MJC=0.2 TF=3.18N TR=122N)
  10557. .ENDS
  10558.  
  10559. * 44A, 500V, 0.12 ohm, N-Channel SMPS MOSFET
  10560. * Package: JEDEC TO-247
  10561. *--------------------------------------------------------------------------------
  10562. .SUBCKT FDH44N50 20 10 30
  10563. Rg 10 1 2.25
  10564. M1 2 1 3 3 DMOS L=1u W=1u
  10565. .MODEL DMOS NMOS(VTO={3.98*{-0.00076*TEMP+1.019}} KP={-0.022*TEMP+95}
  10566. + THETA=0.064 VMAX=3.0E5 LEVEL=3)
  10567. Cgs 1 3 4095p
  10568. Rd 20 4 0.0487 TC=0.01
  10569. Dds 3 4 DDS
  10570. .MODEL DDS D(BV={500*{0.000925*TEMP+0.976875}} M=0.58 CJO=5250p VJ=0.58)
  10571. Dbody 3 20 DBODY
  10572. .MODEL DBODY D(IS=2.2p N=1.00 RS=0.0006 EG=1.115 TT=590n)
  10573. Ra 4 2 0.0487 TC=0.01
  10574. Rs 3 5 0.002
  10575. Ls 5 30 0.55n
  10576. M2 1 8 6 6 INTER
  10577. E2 8 6 4 1 2
  10578. .MODEL INTER NMOS (VTO=0 KP=10 LEVEL=1)
  10579. CGDmax 7 4 7250p
  10580. Rcgd 7 4 1E7
  10581. Dgd 6 4 DGD
  10582. Rdgd 4 6 1E7
  10583. .MODEL DGD D(M=0.48 CJO=7250p VJ=0.00172)
  10584. M3 7 9 1 1 INTER
  10585. E3 9 1 4 1 -2
  10586. .ENDS
  10587.  
  10588. .SUBCKT irfbe20 1 2 3
  10589. M1 9 7 8 8 MM L=100u W=100u
  10590. RS 8 3 0.0001
  10591. D1 3 1 MD
  10592. RDS 3 1 1e+06
  10593. RD 9 1 5.58265
  10594. RG 2 7 1.65378
  10595. D2 4 5 MD1
  10596. RL 5 10 1
  10597. FI2 7 9 VFI2 -1
  10598. VFI2 4 0 0
  10599. EV16 10 0 9 7 1
  10600. CAP 11 10 1.18252e-09
  10601. FI1 7 9 VFI1 -1
  10602. VFI1 11 6 0
  10603. RCAP 6 10 1
  10604. D4 0 6 MD3
  10605. D3 0 5 MD2
  10606. ** discrete elements **
  10607. .MODEL MD3 D IS=1e-10 N=0.4
  10608. .MODEL MD1 D IS=1e-32 N=50
  10609. +CJO=1.17652e-09 VJ=1.39725 M=0.9 FC=1e-08
  10610. .MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06
  10611. .MODEL MD D IS=1.19565e-14 RS=0.0525945 N=0.883654 BV=800
  10612. +IBV=0.00025 EG=1.2 XTI=3.04329 TT=0
  10613. +CJO=3.11456e-10 VJ=5 M=0.9 FC=0.5
  10614. .MODEL MM NMOS LEVEL=1 IS=1e-32
  10615. +VTO=4.05669 LAMBDA=0.000387746 KP=0.746614
  10616. +CGSO=4.5273e-06 CGDO=1e-11
  10617. .ENDS irfbe20
  10618. *********
  10619. .SUBCKT STP20NM60FD 1 2 3
  10620. LG 2 4 7.5E-09
  10621. LS 12 3 7.5E-09
  10622. LD 6 1 4.5E-09
  10623. RG 4 5 2.701
  10624. RS 9 12 0.292E-01
  10625. RD 7 6 0.198
  10626. RJ 8 7 0.244E-02
  10627. CGS 5 9 0.151E-08
  10628. CGD 7 10 0.126E-08
  10629. CK 11 7 0.301E-10
  10630. DGD 11 7 DGD
  10631. DBS 12 6 DBS
  10632. DBD 9 7 DBD
  10633. MOS 13 5 9 9 MOS L=1u W=1u
  10634. E1 10 5 101 0 1
  10635. E2 11 5 102 0 1
  10636. E3 8 13 POLY(2) 6 8 6 12 0 0 0 0 0.903E-01
  10637. G1 0 100 7 5 1u
  10638. D1 100 101 DID
  10639. D2 102 100 DID
  10640. R1 101 0 1MEG
  10641. R2 102 0 1MEG
  10642. ** discrete elements **
  10643. .MODEL MOS NMOS LEVEL=3 VTO=4.492 PHI=0.819 IS=0.1p JS=0 THETA=0.174 KP=23.092
  10644. .MODEL DGD D IS=0.1p CJO=0.849E-11 VJ=0.724 M=0.353
  10645. .MODEL DBD D IS=0.1p CJO=0.693E-11 VJ=0.709 M=0.309
  10646. .MODEL DBS D IS=0.1p BV=644 N=1 TT=0.345E-06 RS=0.717E-02
  10647. .MODEL DID D IS=0.01p RS=0 BV=654
  10648. .ENDS STP20NM60FD
  10649. **************
  10650. .SUBCKT ntb52n10 1 2 3
  10651. M1 9 7 8 8 MM L=100u W=100u
  10652. RS 8 3 0.00662207
  10653. D1 3 1 MD
  10654. RDS 3 1 8.33e+10
  10655. RD 9 1 0.00857017
  10656. RG 2 7 4.04874
  10657. D2 4 5 MD1
  10658. D3 0 5 MD2
  10659. RL 5 10 1
  10660. FI2 7 9 VFI2 -1
  10661. VFI2 4 0 0
  10662. EV16 10 0 9 7 1
  10663. CAP 11 10 3.80889e-09
  10664. FI1 7 9 VFI1 -1
  10665. VFI1 11 6 0
  10666. RCAP 6 10 1
  10667. D4 0 6 MD3
  10668. ** discrete models **
  10669. .MODEL MD D IS=1.2e-09 RS=0.00271192 N=1.27357 BV=100
  10670. +IBV=2.5e-07 EG=1.2 XTI=4 TT=0
  10671. +CJO=3.23804e-09 VJ=3.86005 M=0.899453 FC=0.5
  10672. .MODEL MD1 D IS=1e-32 N=50
  10673. +CJO=3.05942e-09 VJ=1.11418 M=0.9 FC=1e-08
  10674. .MODEL MM NMOS LEVEL=1 IS=1e-32
  10675. +VTO=3.39396 LAMBDA=0.0622182 KP=21.8729
  10676. +CGSO=2.00282e-05 CGDO=1e-11
  10677. .MODEL MD3 D IS=1e-10 N=0.4
  10678. .MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06
  10679. .ENDS ntb52n10
  10680. ************
  10681. .SUBCKT stp7nb80 1 2 3
  10682. LG 2 4 7.5n
  10683. LS 12 3 7.5n
  10684. LD 6 1 4.5n
  10685. RG 4 5 2.5
  10686. RS 9 12 0.622E-03
  10687. RD 7 6 1.067
  10688. RJ 8 7 0.454E-02
  10689. CGS 5 9 0.131E-08
  10690. CGD 7 10 0.155E-08
  10691. CK 11 7 0.158E-10
  10692. DGD 11 7 DGD
  10693. DBS 12 6 DBS
  10694. DBD 9 7 DBD
  10695. MOS 13 5 9 9 MOS L=1u W=1u
  10696. E1 10 5 101 0 1
  10697. E2 11 5 102 0 1
  10698. E3 8 13 POLY(2) 6 8 6 12 0 0 0 0 0.319E-01
  10699. G1 0 100 7 5 1u
  10700. D1 100 101 DID
  10701. D2 102 100 DID
  10702. R1 101 0 1MEG
  10703. R2 102 0 1MEG
  10704. ** discrete models **
  10705. .MODEL MOS NMOS LEVEL=3 VTO=4.662 PHI=0.318 IS=0 JS=0
  10706. +THETA=0.865E-06 KP=8.597 Vmax=0.302E+07 Kappa=0.123E-02 eta= 0.446E-03
  10707. .MODEL DGD D CJO=0.265E-10 VJ=0.305909 M=0.141673
  10708. .MODEL DBD D CJO=0.678E-08 VJ=0.824 M=0.816
  10709. .MODEL DBS D BV=880 N=1 TT=0.285E-06 RS=0.124E+09
  10710. .MODEL DID D RS=0 BV=890
  10711. .ENDS stp7nb80
  10712. ***********
  10713. .SUBCKT STP11NK50Z 1 2 3
  10714. LG 2 4 7.5n
  10715. LS 12 3 7.5n
  10716. LD 6 1 4.5n
  10717. RG 4 5 2.702
  10718. RS 9 12 0.219E-01
  10719. RD 7 6 0.304
  10720. RJ 8 7 0.232E-01
  10721. CGS 5 9 0.148E-08
  10722. CGD 7 10 0.225E-08
  10723. CK 11 7 0.408E-10
  10724. DGD 11 7 DGD
  10725. DBS 12 6 DBS
  10726. DBD 9 7 DBD
  10727. MOS 13 5 9 9 MOS L=1u W=1u
  10728. E1 10 5 101 0 1
  10729. E2 11 5 102 0 1
  10730. E3 8 13 POLY(2) 6 8 6 12 0 0 0 0 0.654E-01
  10731. G1 0 100 7 5 1u
  10732. D1 100 101 DID
  10733. D2 102 100 DID
  10734. R1 101 0 1MEG
  10735. R2 102 0 1MEG
  10736. ** discrete models **
  10737. .MODEL MOS NMOS LEVEL=3 VTO=4.763 PHI=0.847 IS=0.1p JS=0 THETA=0.304E-01 KP=11.208
  10738. .MODEL DGD D IS=0.1p CJO=0.137E-10 VJ=0.755 M=0.349
  10739. .MODEL DBD D IS=0.1p CJO=0.155E-10 VJ=0.761 M=0.332
  10740. .MODEL DBS D IS=0.1p BV= 569 N= 1 TT= 0.388E-06 RS=0.636E-02
  10741. .MODEL DID D IS=0.01p RS=0 BV=679
  10742. .ENDS STP11NK50Z
  10743.  
  10744.  
  10745. * Ratings 80V/2.60E-02OHMS/25A
  10746. *
  10747. * Date Created Wed Jan 27 06:03:21 2010
  10748. .SUBCKT PSMN026_80YS DRAIN GATE SOURCE
  10749. LD DRAIN 5 5p
  10750. RLD2 DRAIN 5 0.01256636
  10751. RLD1 5 4 1e-06
  10752. LG GATE 1 5.43147180559945e-10
  10753. RLG GATE 1 1.36507660078025
  10754. LS SOURCE 8 9e-10
  10755. RLS2 SOURCE 8 2.2619448
  10756. RLS1 8 7 0.00035
  10757. RDS 7 4 53333333.3333333 TC=-0.05
  10758. RS 6 7 0.0001
  10759. RD 3 4 0.0203651340489846 TC=0.00785242023764695,-1.22783976683519e-05
  10760. RBD 9 4 0.00184945209509834 TC=0.00785242023764695,-1.22783976683519e-05
  10761. DBD 7 9 DBD
  10762. M1 3 2 6 6 MINT
  10763. RGS 2 6 200000000
  10764. CGS 2 6 1.17453056632079e-09
  10765. RG 1 2 0.797840585732129
  10766. * CGD
  10767. C11 11 12 1p
  10768. V11 11 0 0Vdc
  10769. G11 3 2 VALUE { V(13, 0)*I(V11) }
  10770. E11 12 0 3 2 1
  10771. E12 13 0 TABLE {V(12)}
  10772. + -20 950
  10773. + -10 951
  10774. + -8 950
  10775. + -6 942
  10776. + -4 954
  10777. + -2 694
  10778. + 0 301.5
  10779. + 0.1 219
  10780. + 0.2 206
  10781. + 0.5 176
  10782. + 1 138
  10783. + 2 97
  10784. + 5 88
  10785. + 10 90
  10786. + 20 81
  10787. + 25 78
  10788. + 30 75
  10789. + 40 70
  10790. .MODEL MINT NMOS(Vto=3.81613404898463 Kp=7.4048e+01 Nfs=990000000000 Eta=0
  10791. + Level=3 L=1e-4 W=1e-4 Gamma=0 Phi=0.6 Is=1e-24
  10792. + Js=0 Pb=0.8 Cj=0 Cjsw=0 Cgso=0 Cgdo=0 Cgbo=0
  10793. + Tox=1e-07 Xj=0
  10794. + U0=600 Vmax=1000)
  10795. .MODEL DBD D(Bv=89.60 Ibv=2.50E-04 Rs=1E-6 Is=4.31290229345736e-13
  10796. + N=1 M=0.75 VJ=0.97 Fc=0.5 Cjo=6.9e-10 Tt=1.8e-08)
  10797. .ENDS
  10798.  
  10799.  
  10800. * Polarity N-Channel
  10801. * Ratings 80V/1.30E-02OHMS/10A
  10802. *
  10803. * Date Created Thu Mar 11 08:02:50 2010
  10804. .SUBCKT PSMN013_80YS DRAIN GATE SOURCE
  10805. LD DRAIN 5 5p
  10806. RLD2 DRAIN 5 0.01256636
  10807. RLD1 5 4 1e-06
  10808. LG GATE 1 7.81292696075128e-10
  10809. RLG GATE 1 1.96360105685013
  10810. LS SOURCE 8 9e-10
  10811. RLS2 SOURCE 8 2.2619448
  10812. RLS1 8 7 0.00035
  10813. RDS 7 4 1600000000 TC=-0.05
  10814. RS 6 7 0.0001
  10815. RD 3 4 0.00734041018965948 TC=0.00851410211071261,-1.48896345836092e-05
  10816. RBD 9 4 0.000690231733977848 TC=0.00851410211071261,-1.48896345836092e-05
  10817. DBD 7 9 DBD
  10818. M1 3 2 6 6 MINT
  10819. RGS 2 6 1000000000000
  10820. CGS 2 6 2.092e-09
  10821. RG 1 2 0.0466337618175334
  10822. * CGD
  10823. C11 11 12 1p
  10824. V11 11 0 0Vdc
  10825. G11 3 2 VALUE { V(13, 0)*I(V11) }
  10826. E11 12 0 3 2 1
  10827. E12 13 0 TABLE {V(12)}
  10828. + -10 1752
  10829. + -8 1734
  10830. + -6 1745
  10831. + -4 1798
  10832. + -2 1454
  10833. + 0 587
  10834. + 0.1 418
  10835. + 0.2 391
  10836. + 0.5 333
  10837. + 1 284
  10838. + 2 220
  10839. + 5 185
  10840. + 10 166
  10841. + 20 149
  10842. + 25 143
  10843. + 30 138
  10844. + 40 131
  10845. .MODEL MINT NMOS(Vto=3.69274670524622 Kp=8.3071e+01 Nfs=2130000000000 Eta=0
  10846. + Level=3 L=1e-4 W=1e-4 Gamma=0 Phi=0.6 Is=1e-24
  10847. + Js=0 Pb=0.8 Cj=0 Cjsw=0 Cgso=0 Cgdo=0 Cgbo=0
  10848. + Tox=1e-07 Xj=0
  10849. + U0=600 Vmax=1000)
  10850. .MODEL DBD D(Bv=89.60 Ibv=2.50E-04 Rs=1E-6 Is=1.27102792027399p
  10851. + N=1 M=0.76 VJ=0.96 Fc=0.5 Cjo=1.3e-09 Tt=1.85e-08)
  10852. .ENDS
  10853.  
  10854.  
  10855. *Feb 26, 2004
  10856. .SUBCKT TN0200K 4 1 2
  10857. M1 3 5 2 2 NMOS W=54487u L=0.50u
  10858. M2 2 5 2 4 PMOS W=54487u L=0.70u
  10859. R1 4 3 RTEMP 50E-3
  10860. CGS 5 2 75p
  10861. DBD 2 4 DBD
  10862. XESD 1 5 2 esd_nch
  10863. .subckt esd_nch 1 5 2
  10864. rd1 1 6 1 TC=300
  10865. d1 6 2 dleak M=1
  10866. .MODEL dleak d (IS=3E-9 XTI=350 EG=1.17 N=34 BV=6.4)
  10867. rd2 1 7 34 TC=-0.0002
  10868. d2 8 7 dout M=1
  10869. d3 8 2 dout M=1
  10870. .MODEL dout D (IS=5.1E-9 XTI=-35 EG=1.17 N=2 BV=6.38)
  10871. rpoly 1 5 100 TC=0.001
  10872. rd4 5 9 100 TC=-0.015
  10873. d4 10 9 din M=1
  10874. d5 10 2 din M=1
  10875. .MODEL din D (IS=5.1E-9 XTI=-30 EG=1.17 N=1.5 BV=6.25)
  10876. .ends esd_nch
  10877. .MODEL NMOS NMOS (LEVEL=3 TOX=1.7E-8
  10878. + RS=80E-3 RD=0 NSUB=1.6E17
  10879. + KP=5E-5 UO=650
  10880. + VMAX=0 XJ=5E-7 KAPPA=2E-2
  10881. + ETA=1E-4 TPG=1
  10882. + IS=0 LD=0
  10883. + CGSO=0 CGDO=0 CGBO=0
  10884. + NFS=0.8E12 )
  10885. .MODEL PMOS PMOS (LEVEL=3 TOX= 1.7E-8
  10886. +NSUB=1.5E17 TPG=-1)
  10887. .MODEL DBD D (CJO=40p VJ=0.38 M=0.15
  10888. +RS=1 FC=0.1 IS=1p TT=5E-8 N=1 BV=20.5)
  10889. .MODEL RTEMP RES (TC1=10E-3 TC2=5.5E-6)
  10890. .ENDS
  10891.  
  10892. .SUBCKT AO3416 4 1 2
  10893. M1 3 1 2 2 NMOS W=998956u L=1.0u
  10894. M2 2 1 2 4 PMOS W=998956u L=0.4u
  10895. R1 4 3 RTEMP 13E-3
  10896. CGS 1 2 225p
  10897. DBD 2 3 DBD
  10898. .MODEL NMOS NMOS (LEVEL=3 TOX=1.5E-8
  10899. + RS=2E-4 RD=0 NSUB=1.2E17
  10900. + kp=4E-5 UO=600 THETA=0
  10901. + VMAX=0 XJ=4E-7 KAPPA=1.1
  10902. + ETA=0 TPG=1
  10903. + IS=0 LD=0
  10904. + CGSO=0 CGDO=0 CGBO=0
  10905. + NFS=2E10 )
  10906. .MODEL PMOS PMOS (LEVEL=3 TOX=1.5E-8
  10907. +NSUB=2.0E16 TPG=-1)
  10908. .MODEL DBD D (CJO=690p VJ=0.6 M=0.3
  10909. +RS=0.005 FC=0.5 IS=1E-11 TT=1.5E-8 N=1.0 BV=36 IBV=1E-4)
  10910. .MODEL RTEMP RES (TC1=6.5E-3 TC2=1E-6)
  10911. .ENDS
  10912.  
  10913. .SUBCKT AO3415 4 1 2
  10914. .param Ascale= 0.94
  10915. M1 3 5 2 2 PMOS W={ Ascale* 0.9375 } L= 0.000002
  10916. M2 2 5 2 3 NMOS W={ Ascale* 0.9375 } L= 0.0000044
  10917. R1 4 3 RTEMP { 0.031 / Ascale }
  10918. RG 1 5 12
  10919. CGS 5 2 { 1p * Ascale }
  10920. DBD 3 2 DBD
  10921. .MODEL PMOS PMOS (LEVEL=3
  10922. + TOX=3.00E-08
  10923. + NSUB=5E+15
  10924. + VTO= -0.9
  10925. + THETA=0
  10926. + kp= 7.15E-05
  10927. + TPG=1 )
  10928. .MODEL NMOS NMOS (LEVEL=3
  10929. + TOX=1.5E-07
  10930. + NSUB=2.3E+15
  10931. +TPG=-1 )
  10932. .MODEL DBD D (CJO={ Ascale * 1.8E-10 }
  10933. + VJ= 0.7
  10934. + M= 0.5
  10935. + RS= {0.007/ Ascale }
  10936. + IS= {AScale* 8.35E-13 }
  10937. + TT= 8.00E-09
  10938. + BV= 35
  10939. + IBV= 0.00025 )
  10940. .MODEL RTEMP RES (TC1=3E-3)
  10941. .ENDS
  10942.  
  10943. * FDN359AN ELECTRICAL MODEL (SOT-23 Single N-Ch DMOS)
  10944. * -------------------------------------------------
  10945. .SUBCKT FDN359AN 20 10 30
  10946. Rg 10 1 0.1
  10947. M1 2 1 3 3 DMOS L=1u W=1u
  10948. .MODEL DMOS NMOS (VTO={1.7*{-0.00272*TEMP+1.068}} KP={-0.04*TEMP+11.5}
  10949. + THETA=0.088 VMAX=5E5 LEVEL=3)
  10950. Cgs 1 3 700p
  10951. Rd 20 4 8m TC=0.0032
  10952. Dds 3 4 DDS
  10953. .MODEL DDS D(BV={30*{0.0006664*TEMP+0.98334}} M=0.3 CJO=800p VJ=0.8)
  10954. Dbody 3 20 DBODY
  10955. .MODEL DBODY D(IS=1.4E-13 N=1 RS=40m TT=100n)
  10956. Ra 4 2 8m TC=0.0032
  10957. Rs 3 5 1m
  10958. Ls 5 30 0.5n
  10959. M2 1 8 6 6 INTER
  10960. E2 8 6 4 1 2
  10961. .MODEL INTER NMOS(VTO=0 KP=10 LEVEL=1)
  10962. Cgdmax 7 4 200p
  10963. Rcgd 7 4 10meg
  10964. Dgd 6 4 DGD
  10965. Rdgd 4 6 10meg
  10966. .MODEL DGD D(M=0.5 CJO=200p VJ=0.4)
  10967. M3 7 9 1 1 INTER
  10968. E3 9 1 4 1 -2
  10969. .ENDS FDN359AN
  10970.  
  10971. .SUBCKT FDN304p 20 10 30
  10972. *20=DRAIN 10=GATE 30=SOURCE 50=VTEMP
  10973. Vtemp 50 0 {TEMP}
  10974. Rg 10 11x 1
  10975. Rdu 12x 1 1u
  10976. M1 2 1 4x 4x DMOS L=1u W=1u
  10977. .MODEL DMOS PMOS(VTO=-0.87 KP=2.5E+1
  10978. +THETA=0.25 VMAX=8.5E5 LEVEL=3)
  10979. Cgs 1 5x 1300p
  10980. Rd 20 4 7E-3
  10981. Dds 4 5x DDS
  10982. .MODEL DDS D(M=4.26E-1 VJ=3.39E-1 CJO=562p)
  10983. Dbody 20 5x DBODY
  10984. .MODEL DBODY D(IS=3.81E-10 N=1.145283 RS=0.00084 TT=14.5n)
  10985. Ra 4 2 7E-3
  10986. Rs 5x 5 0.5m
  10987. Ls 5 30 0.5n
  10988. M2 1 8 6 6 INTER
  10989. E2 8 6 4 1 2
  10990. .MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
  10991. Cgdmax 7 4 1050p
  10992. Rcgd 7 4 10meg
  10993. Dgd 4 6 DGD
  10994. Rdgd 4 6 10meg
  10995. .MODEL DGD D(M=3.2E-1 VJ=4.23E-3 CJO=1050p)
  10996. M3 7 9 1 1 INTER
  10997. E3 9 1 4 1 -2
  10998. *ZX SECTION
  10999. EOUT 4x 6x poly(2) (1x,0) (3x,0) 0 0 0 0 1
  11000. FCOPY 0 3x VSENSE 1
  11001. RIN 1x 0 1G
  11002. VSENSE 6x 5x 0
  11003. RREF 3x 0 10m
  11004. *TEMP SECTION
  11005. ED 101 0 VALUE {V(50,100)}
  11006. VAMB 100 0 25
  11007. EKP 1x 0 101 0 .012
  11008. *VTO SECTION
  11009. EVTO 102 0 101 0 .0007
  11010. EVT 11x 12x 102 0 1
  11011. *DIODE THERMO BREAKDOWN SECTION
  11012. EBL VB1 VB2 101 0 0.8
  11013. VBLK VB2 0 20
  11014. D DB1 20 DBLK
  11015. .MODEL DBLK D(IS=1E-14 CJO=.1p RS=.1)
  11016. EDB 0 DB1 VB1 0 1
  11017. .ENDS FDN304p
  11018.  
  11019. *ZETEX ZXMN2A01F Spice Model v2.0 Last Revised 22/2/05
  11020. *
  11021. .SUBCKT ZXMN2A01F 30 40 50
  11022. *------connections-------D-G-S
  11023. M1 6 20 5 5 Nmod L=1.16u W=0.46
  11024. M2 5 20 5 6 Pmod L=1.3u W=0.22
  11025. RG 4 2 5
  11026. RIN 2 5 1E12
  11027. RD 3 6 0.036 TC=5.8e-3,1.3E-5
  11028. RL 3 5 3E9
  11029. C1 2 5 8.5p
  11030. C2 3 4 3p
  11031. D1 5 3 Dbodymod
  11032. Egt1 2 20 21 5 1
  11033. Vgt1 5 22 1
  11034. Igt1 5 21 1
  11035. Rgt 21 22 1 TC=-3e-4
  11036. LD 3 30 0.5E-9
  11037. LG 4 40 1.0E-9
  11038. LS 5 50 1.0E-9
  11039. .MODEL Nmod NMOS (LEVEL=3 TOX=4.5E-8 NSUB=3.5E16 VTO=1.31
  11040. +KP=4E-5 RS=.03 NFS=2E11 KAPPA=0.06 UO=650 IS=1f N=10)
  11041. .MODEL Pmod PMOS (LEVEL=3 TOX=4.5E-8 NSUB=3.3E16
  11042. +TPG=-1 IS=1f N=10)
  11043. .MODEL Dbodymod D (IS=5p RS=.025 IKF=0.1 TRS1=1.5e-3
  11044. +CJO=230p BV=23)
  11045. .ENDS ZXMN2A01F
  11046. *
  11047.  
  11048. .SUBCKT DN3545 1 2 3
  11049. *
  11050. * NODE 1=DRAIN
  11051. * NODE 2=GATE
  11052. * NODE 3=SOURCE
  11053. *
  11054. MOS1 11 2 3 3 ND_DMOS L=2.5E-06 W=58E-3
  11055. JFET 1 3 11 JMOD 1
  11056. DBODY 3 1 DMOS
  11057. R 1 11 1E+6
  11058. *
  11059. .MODEL ND_DMOS NMOS
  11060. + LEVEL=3 UO=307 VTO=-1.829 NFS=5.0E+11
  11061. + TOX=5E-08 NSUB=3.59E+15 VMAX=5E+04
  11062. + CGDO=1.96E-9
  11063. + CGSO=1.5E-09 CGBO=0 CBD=4.0E-11 CBS=1.0f
  11064. + MJ=0.5003 MJSW=0.33 IS=5E-13 PB=0.4507
  11065. + FC=0.5 XJ=1.2E-05
  11066. + THETA=0 ETA=1.0E-6 KAPPA=1.0E-6
  11067. *
  11068. .MODEL DMOS D
  11069. + IS=281.0f N=0.950 RS=2.5
  11070. + BV=450 IBV=1.0E-3 TT=1.0E-6
  11071. *
  11072. .MODEL JMOD NJF
  11073. + VTO=-3.5 BETA=0.100 IS=281f
  11074. + RD=9.0 LAMBDA=0
  11075. .ENDS
  11076.  
  11077. .SUBCKT SI2308DS 4 1 2
  11078. M1 3 1 2 2 NMOS W=194960u L=0.3u
  11079. M2 2 1 2 4 PMOS W=194960u L=1.15u
  11080. R1 4 3 107m TC1=8E-3 TC2=6.5E-6
  11081. CGS 1 2 1.8E-10
  11082. DBD 2 4 DBD
  11083. .MODEL NMOS NMOS (LEVEL=3 TOX=5E-8 RS=28E-3 NSUB=2E17 kp=1.86E-5 UO=650
  11084. + VMAX=0 XJ=0.5u KAPPA=1u ETA=1E-4 TPG=1 IS=0 NFS=0.8E12
  11085. .MODEL PMOS PMOS (LEVEL=3 TOX=5E-8 NSUB=1E16 TPG=-1)
  11086. .MODEL DBD D (CJO=1.7E-10 VJ=0.38 M=0.34 RS= 0.15 FC=0.1 IS=1E-11 TT=3.3E-8 N=1 BV=65)
  11087. .ENDS
  11088.  
  11089. .SUBCKT irlml6302 1 2 3
  11090. * Node 1 -> Drain
  11091. * Node 2 -> Gate
  11092. * Node 3 -> Source
  11093. M1 9 7 8 8 MM L=100u W=100u
  11094. .MODEL MM PMOS LEVEL=1 IS=1e-32
  11095. +VTO=-1.37186 LAMBDA=0 KP=1.46142
  11096. +CGSO=7.46915e-07 CGDO=1.30976e-07
  11097. RS 8 3 0.160522
  11098. D1 1 3 MD
  11099. .MODEL MD D IS=1.58288e-10 RS=0.0803102 N=1.3978 BV=20
  11100. +IBV=0.00025 EG=1 XTI=4 TT=0.0001
  11101. +CJO=7.48552e-11 VJ=1.0433 M=0.38365 FC=0.5
  11102. RDS 3 1 1.6e+07
  11103. RD 9 1 0.0792372
  11104. RG 2 7 35.953
  11105. D2 5 4 MD1
  11106. .MODEL MD1 D IS=1e-32 N=50
  11107. +CJO=1.80598e-10 VJ=0.5 M=0.713713 FC=1e-08
  11108. D3 5 0 MD2
  11109. .MODEL MD2 D IS=1e-10 N=0.400002 RS=3e-06
  11110. RL 5 10 1
  11111. FI2 7 9 VFI2 -1
  11112. VFI2 4 0 0
  11113. EV16 10 0 9 7 1
  11114. CAP 11 10 2.96603e-10
  11115. FI1 7 9 VFI1 -1
  11116. VFI1 11 6 0
  11117. RCAP 6 10 1
  11118. D4 6 0 MD3
  11119. .MODEL MD3 D IS=1e-10 N=0.400002
  11120. .ENDS
  11121.  
  11122. * All Rights Reserved
  11123. * Commercial Use or
  11124. * Resale Restricted
  11125. * Date: 2011/03/15
  11126. ******************D G S
  11127. .SUBCKT RSU002P03 1 2 3
  11128. M1 11 22 3 3 MOS_P
  11129. D1 1 3 DDS
  11130. R1 1 11 RTH 705m
  11131. D2 11 22 DDG
  11132. R2 2 22 80
  11133. .MODEL MOS_P PMOS
  11134. + LEVEL=3 L=2u W=.1 KP=13.445u RS=10m VTO=-2.2723 RDS=30MEG TOX=2u CGSO=216p CGDO=0p CBD=0 N=2 RB=1m GAMMA=0.3 UO=300 NFS=20G
  11135. .MODEL DDS D IS=245.02f N=1.1267 RS=.81308 IKF=17.818 CJO=11.052p M=.39856 VJ=.84706 BV=30 TT=20n
  11136. .MODEL DDG D CJO=11.539p M=.35579 VJ=1.0537 N=10000 FC=0.82
  11137. .MODEL RTH RES TC1=0.0042 TC2=0.000003
  11138. .ENDS RSU002P03
  11139.  
  11140. * FDG6320C ELECTRICAL MODEL (SC70-6 N-Ch and P-Ch DMOS)
  11141. *
  11142. .SUBCKT FDG6320C_N 20 10 30 ;50
  11143. *20=DRAIN 10=GATE 30=SOURCE 50=VTEMP
  11144. V 50 0 {TEMP}
  11145. Rg 10 11x 1
  11146. Rdu 12x 1 1u
  11147. M1 2 1 4x 4x DMOS L=1u W=1u
  11148. .MODEL DMOS NMOS(VTO=0.9 KP=4.5E-1
  11149. +THETA=0.25 VMAX=3E5 LEVEL=3)
  11150. Cgs 1 5x 10p
  11151. Rd 20 4 7.8E-1
  11152. Dds 5x 4 DDS
  11153. .MODEL DDS D(M=9.9E-1 VJ=2.23 CJO=8.4p)
  11154. Dbody 5x 20 DBODY
  11155. .MODEL DBODY D(IS=7.78E-9 N=1.946325 RS=0.023193 TT=15.97n)
  11156. Ra 4 2 7.8E-1
  11157. * Rs 5x 5 0.5m
  11158. Ls 5x 30 0.5n Rser=0.5m
  11159. M2 1 8 6 6 INTER
  11160. E2 8 6 4 1 2
  11161. .MODEL INTER NMOS(VTO=0 KP=10 LEVEL=1)
  11162. Cgdmax 7 4 54p
  11163. Rcgd 7 4 10meg
  11164. Dgd 6 4 DGD
  11165. Rdgd 4 6 10meg
  11166. .MODEL DGD D(M=2.71E-1 VJ=1.44E-5 CJO=54p)
  11167. M3 7 9 1 1 INTER
  11168. E3 9 1 4 1 -2
  11169. *ZX SECTION
  11170. EOUT 4x 6x poly(2) (1x,0) (3x,0) 0 0 0 0 1
  11171. FCOPY 0 3x VSENSE 1
  11172. RIN 1x 0 1G
  11173. VSENSE 6x 5x 0
  11174. RREF 3x 0 10m
  11175. *TEMP SECTION
  11176. ED 101 0 VALUE {V(50,100)}
  11177. VAMB 100 0 25
  11178. EKP 1x 0 101 0 1.8
  11179. *VTO TEMP SECTION
  11180. EVTO 102 0 101 0 .0005
  11181. EVT 12x 11x 102 0 1
  11182. *DIODE THEMO BREAKDOWN SECTION
  11183. EBL VB1 VB2 101 0 .08
  11184. VBLK VB2 0 25
  11185. D 20 DB1 DBLK
  11186. .MODEL DBLK D(IS=1E-14 CJO=.1p RS=.1)
  11187. EDB DB1 0 VB1 0 1
  11188. .ENDS FDG6320C_N
  11189. *---------------------------------------------------------
  11190. *FDG6320C at Temp. Electrical Model (Complementary P-Ch)
  11191. *---------------------------------------------------------
  11192. .SUBCKT FDG6320C_P 20 10 30 ;50
  11193. *20=DRAIN 10=GATE 30=SOURCE 50=VTEMP
  11194. V 50 0 {TEMP}
  11195. Rg 10 11x 1
  11196. Rdu 12x 1 1u
  11197. M1 2 1 4x 4x DMOS L=1u W=1u
  11198. .MODEL DMOS PMOS(VTO=-0.83 KP=1.53E-1
  11199. +THETA=0.25 VMAX=2E5 LEVEL=3)
  11200. Cgs 1 5x 12p
  11201. Rd 20 4 1.4
  11202. Dds 4 5x DDS
  11203. .MODEL DDS D(M=2.91E-1 VJ=4.64E-1 CJO=14.1p)
  11204. Dbody 20 5x DBODY
  11205. .MODEL DBODY D(IS=7.94E-8 N=2.460181 RS=.021333 TT=52.03n)
  11206. Ra 4 2 1.4
  11207. * Rs 5x 5 0.5m
  11208. * Ls 5 30 0.5n
  11209. Ls 5x 30 0.5n Rser=0.5m
  11210. M2 1 8 6 6 INTER
  11211. E2 8 6 4 1 2
  11212. .MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
  11213. Cgdmax 7 4 35.6p
  11214. Rcgd 7 4 10meg
  11215. Dgd 4 6 DGD
  11216. Rdgd 4 6 10meg
  11217. .MODEL DGD D(M=4.01E-1 VJ=2.6E-3 CJO=35.6p)
  11218. M3 7 9 1 1 INTER
  11219. E3 9 1 4 1 -2
  11220. *ZX SECTION
  11221. EOUT 4x 6x poly(2) (1x,0) (3x,0) 0 0 0 0 1
  11222. FCOPY 0 3x VSENSE 1
  11223. RIN 1x 0 1G
  11224. VSENSE 6x 5x 0
  11225. RREF 3x 0 10m
  11226. *TEMP SECTION
  11227. ED 101 0 VALUE {V(50,100)}
  11228. VAMB 100 0 25
  11229. EKP 1x 0 101 0 2.9
  11230. *VTO TEMP SECTION
  11231. EVTO 102 0 101 0 .0016
  11232. EVT 11x 12x 102 0 1
  11233. *DIODE THEMO BREAKDOWN SECTION
  11234. EBL VB1 VB2 101 0 .08
  11235. VBLK VB2 0 25
  11236. D DB1 20 DBLK
  11237. .MODEL DBLK D(IS=1E-14 CJO=.1p RS=.1)
  11238. EDB 0 DB1 VB1 0 1
  11239. .ENDS FDG6320C_P
  11240.  
  11241. .subckt fdv304p d g s
  11242. * sot-23 single p-ch dmos
  11243. q d s g za
  11244. .model za pnp bf=0.05
  11245. rg g 1 1
  11246. m1 2 1 3 3 dmos l=1u w=1u
  11247. .model dmos pmos (vto=-0.8 kp=0.7982 theta=0.096 vmax=3.8e5 level=3)
  11248. cgs 1 3 130p
  11249. rd d 4 0.25
  11250. dds 4 3 dds
  11251. .model dds d (bv=25 m=0.35 cjo=100p vj=1.0)
  11252. dbody d 3 dbody
  11253. .model dbody d (is=1p n=1 rs=12.7m tt=25n)
  11254. ra 4 2 0.25
  11255. rs 3 5 10m
  11256. ls 5 s 0.5n
  11257. m2 1 8 6 6 inter
  11258. be2 8 6 v=v(4,1)+v(4,1)
  11259. .model inter pmos (vto=0 kp=10 level=1)
  11260. cgdmax 7 4 110p
  11261. rcgd 7 4 10meg
  11262. dgd 4 6 dgd
  11263. rdgd 4 6 10meg
  11264. .model dgd d (m=0.55 cjo=110p vj=0.12)
  11265. m3 7 9 1 1 inter
  11266. be3 1 9 v=v(8,6)
  11267. .ends
  11268.  
  11269. .SUBCKT FDN352AP 20 10 30
  11270. *20=DRAIN 10=GATE 30=SOURCE 50=VTEMP
  11271. v 50 0 {TEMP}
  11272. Rg 10 11x 1
  11273. Rdu 12x 1 1u
  11274. M1 2 1 4x 4x DMOS L=1u W=1u
  11275. .MODEL DMOS PMOS(VTO=-2 KP=1.89
  11276. +THETA=.08 VMAX=3.5E5 LEVEL=3)
  11277. Cgs 1 5x 126p
  11278. Rd 20 4 1.2E-2
  11279. Dds 4 5x DDS
  11280. .MODEL DDS D(M=4.83E-1 VJ=1.15 CJO=69p)
  11281. Dbody 20 5x DBODY
  11282. .MODEL DBODY D(IS=1.5p N=1.12323 RS=0.00219 TT=9.6n)
  11283. Ra 4 2 1.2E-2
  11284. Rs 5x 5 0.5m
  11285. Ls 5 30 0.5n
  11286. M2 1 8 6 6 INTER
  11287. E2 8 6 4 1 2
  11288. .MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
  11289. Cgdmax 7 4 122p
  11290. Rcgd 7 4 10meg
  11291. Dgd 4 6 DGD
  11292. Rdgd 4 6 10meg
  11293. .MODEL DGD D(M=2.5E-1 VJ=1.76E-2 CJO=122p)
  11294. M3 7 9 1 1 INTER
  11295. E3 9 1 4 1 -2
  11296. *ZX SECTION
  11297. EOUT 4x 6x poly(2) (1x,0) (3x,0) 0 0 0 0 1
  11298. FCOPY 0 3x VSENSE 1
  11299. RIN 1x 0 1G
  11300. VSENSE 6x 5x 0
  11301. RREF 3x 0 10m
  11302. *TEMP SECTION
  11303. ED 101 0 VALUE {V(50,100)}
  11304. VAMB 100 0 25
  11305. EKP 1x 0 101 0 .06
  11306. *VTO TEMP SECTION
  11307. EVTO 102 0 101 0 .001
  11308. EVT 11x 12x 102 0 1
  11309. *DIODE THEMO BREAKDOWN SECTION
  11310. EBL VB1 VB2 101 0 .08
  11311. VBLK VB2 0 30
  11312. D DB1 20 DBLK
  11313. .MODEL DBLK D(IS=1E-14 CJO=.1p RS=.1)
  11314. EDB 0 DB1 VB1 0 1
  11315. .ENDS FDN352AP
  11316. *FDN352AP (Rev.A) 10/13/05
  11317.  
  11318. .SUBCKT NDS351AN 20 10 30
  11319. *20=DRAIN 10=GATE 30=SOURCE 50=VTEMP
  11320. v 50 0 {TEMP}
  11321. Rg 10 11x 1
  11322. Rdu 12x 1 1u
  11323. M1 2 1 4x 4x DMOS L=1u W=1u
  11324. .MODEL DMOS NMOS(VTO=2.4 KP=6.55
  11325. +THETA=.1 VMAX=5E5 LEVEL=3)
  11326. Cgs 1 5x 130p
  11327. Rd 20 4 2E-2
  11328. Dds 5x 4 DDS
  11329. .MODEL DDS D(M=4.05E-1 VJ=6.84E-1 CJO=70p)
  11330. Dbody 5x 20 DBODY
  11331. .MODEL DBODY D(IS=2.61E-13 N=1.086382 RS=.00044 TT=10.5n)
  11332. Ra 4 2 2E-2
  11333. Rs 5x 5 0.5m
  11334. Ls 5 30 0.5n
  11335. M2 1 8 6 6 INTER
  11336. E2 8 6 4 1 2
  11337. .MODEL INTER NMOS(VTO=0 KP=10 LEVEL=1)
  11338. Cgdmax 7 4 105p
  11339. Rcgd 7 4 10meg
  11340. Dgd 6 4 DGD
  11341. Rdgd 6 4 10meg
  11342. .MODEL DGD D(M=2.29E-1 VJ=4.48E-3 CJO=105p)
  11343. M3 7 9 1 1 INTER
  11344. E3 9 1 4 1 -2
  11345. *ZX SECTION
  11346. EOUT 4x 6x poly(2) (1x,0) (3x,0) 0 0 0 0 1
  11347. FCOPY 0 3x VSENSE 1
  11348. RIN 1x 0 1G
  11349. VSENSE 6x 5x 0
  11350. RREF 3x 0 10m
  11351. *TEMP SECTION
  11352. ED 101 0 VALUE {V(50,100)}
  11353. VAMB 100 0 25
  11354. EKP 1x 0 101 0 .032
  11355. *VTO TEMP SECTION
  11356. EVTO 102 0 101 0 .001
  11357. EVT 12x 11x 102 0 1
  11358. *DIODE THEMO BREAKDOWN SECTION
  11359. EBL VB1 VB2 101 0 .08
  11360. VBLK VB2 0 30
  11361. D 20 DB1 DBLK
  11362. .MODEL DBLK D(IS=1E-14 CJO=.1p RS=.1)
  11363. EDB DB1 0 VB1 0 1
  11364. .ENDS NDS351AN
  11365. *NDS351AN (Rev.A) 9/29/03
  11366.  
  11367. .SUBCKT irlml2060pbf 1 2 3
  11368. * Model generated on Aug 16, 10
  11369. * Node 1 -> Drain
  11370. * Node 2 -> Gate
  11371. * Node 3 -> Source
  11372. M1 9 7 8 8 MM L=100u W=100u
  11373. .MODEL MM NMOS LEVEL=1 IS=1e-32
  11374. +VTO=2.80157 LAMBDA=0.0343822 KP=4.48896
  11375. +CGSO=5.8563e-07 CGDO=1.50373e-08
  11376. RS 8 3 0.330834
  11377. D1 3 1 MD
  11378. .MODEL MD D IS=1.4393e-09 RS=0.0402678 N=1.5 BV=60
  11379. +IBV=0.00025 EG=1 XTI=1 TT=1e-07
  11380. +CJO=4.47874e-11 VJ=0.5 M=0.519102 FC=0.5
  11381. RDS 3 1 1e+07
  11382. RD 9 1 0.0274132
  11383. RG 2 7 17.3855
  11384. D2 4 5 MD1
  11385. .MODEL MD1 D IS=1e-32 N=50
  11386. +CJO=1.66547e-11 VJ=0.5 M=0.3 FC=1e-08
  11387. D3 0 5 MD2
  11388. .MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06
  11389. RL 5 10 1
  11390. FI2 7 9 VFI2 -1
  11391. VFI2 4 0 0
  11392. EV16 10 0 9 7 1
  11393. CAP 11 10 4.56066e-11
  11394. FI1 7 9 VFI1 -1
  11395. VFI1 11 6 0
  11396. RCAP 6 10 1
  11397. D4 0 6 MD3
  11398. .MODEL MD3 D IS=1e-10 N=0.4
  11399. .ENDS irlml2060pbf
  11400.  
  11401.  
  11402.  
  11403. .SUBCKT irlml9303pbf 1 2 3
  11404. * Model generated on Aug 23, 10
  11405. * Node 1 -> Drain
  11406. * Node 2 -> Gate
  11407. * Node 3 -> Source
  11408. M1 9 7 8 8 MM L=100u W=100u
  11409. .MODEL MM PMOS LEVEL=1 IS=1e-32
  11410. +VTO=-2.38433 LAMBDA=0.00825789 KP=3.4507
  11411. +CGSO=1.37975e-06 CGDO=1.07654e-07
  11412. RS 8 3 0.068914
  11413. D1 1 3 MD
  11414. .MODEL MD D IS=3.38938e-10 RS=0.00771321 N=1.41394 BV=30
  11415. +IBV=0.00025 EG=1 XTI=2.90554 TT=1e-07
  11416. +CJO=9.22636e-11 VJ=0.5 M=0.490425 FC=0.1
  11417. RDS 3 1 5e+07
  11418. RD 9 1 0.0001
  11419. RG 2 7 20.5241
  11420. D2 5 4 MD1
  11421. .MODEL MD1 D IS=1e-32 N=50
  11422. +CJO=1.1935e-10 VJ=0.5 M=0.530391 FC=1e-08
  11423. D3 5 0 MD2
  11424. .MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06
  11425. RL 5 10 1
  11426. FI2 7 9 VFI2 -1
  11427. VFI2 4 0 0
  11428. EV16 10 0 9 7 1
  11429. CAP 11 10 1.8489e-10
  11430. FI1 7 9 VFI1 -1
  11431. VFI1 11 6 0
  11432. RCAP 6 10 1
  11433. D4 6 0 MD3
  11434. .MODEL MD3 D IS=1e-10 N=0.4
  11435. .ENDS irlml9303pbf
  11436.  
  11437. .SUBCKT irlml0100 1 2 3
  11438. * SPICE3 MODEL WITH THERMAL RC NETWORK
  11439. **************************************
  11440. * Model Generated by MODPEX *
  11441. *Copyright(c) Symmetry Design Systems*
  11442. * All Rights Reserved *
  11443. * UNPUBLISHED LICENSED SOFTWARE *
  11444. * Contains Proprietary Information *
  11445. * Which is The Property of *
  11446. * SYMMETRY OR ITS LICENSORS *
  11447. *Commercial Use or Resale Restricted *
  11448. * by Symmetry License Agreement *
  11449. **************************************
  11450. * Model generated on May 7, 10
  11451. * MODEL FORMAT: SPICE3
  11452. * Symmetry POWER MOS Model (Version 1.0)
  11453. * External Node Designations
  11454. * Node 1 -> Drain
  11455. * Node 2 -> Gate
  11456. * Node 3 -> Source
  11457. M1 9 7 8 8 MM L=100u W=100u
  11458. .MODEL MM NMOS LEVEL=1 IS=1e-32
  11459. +VTO=2.17799 LAMBDA=0.0257953 KP=4.23695
  11460. +CGSO=2.73131e-06 CGDO=8.6403e-08
  11461. RS 8 3 0.0001
  11462. D1 3 1 MD
  11463. .MODEL MD D IS=6.88775e-11 RS=0.0210197 N=1.17719 BV=100
  11464. +IBV=0.00025 EG=1 XTI=4 TT=1e-07
  11465. +CJO=1.68524e-10 VJ=0.5 M=0.635966 FC=0.1
  11466. RDS 3 1 1e+07
  11467. RD 9 1 0.115715
  11468. RG 2 7 1.99479
  11469. D2 4 5 MD1
  11470. * Default values used in MD1:
  11471. * RS=0 EG=1.11 XTI=3.0 TT=0
  11472. * BV=infinite IBV=1mA
  11473. .MODEL MD1 D IS=1e-32 N=50
  11474. +CJO=1.32047e-10 VJ=0.5 M=0.9 FC=1e-08
  11475. D3 0 5 MD2
  11476. * Default values used in MD2:
  11477. * EG=1.11 XTI=3.0 TT=0 CJO=0
  11478. * BV=infinite IBV=1mA
  11479. .MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06
  11480. RL 5 10 1
  11481. FI2 7 9 VFI2 -1
  11482. VFI2 4 0 0
  11483. EV16 10 0 9 7 1
  11484. CAP 11 10 1.32047e-10
  11485. FI1 7 9 VFI1 -1
  11486. VFI1 11 6 0
  11487. RCAP 6 10 1
  11488. D4 0 6 MD3
  11489. * Default values used in MD3:
  11490. * EG=1.11 XTI=3.0 TT=0 CJO=0
  11491. * RS=0 BV=infinite IBV=1mA
  11492. .MODEL MD3 D IS=1e-10 N=0.4
  11493. .ENDS irlml0100
  11494.  
  11495.  
  11496.  
  11497. .SUBCKT TN0200T 4 1 2
  11498. M1 3 1 2 2 NMOS W=577792u L=0.14u
  11499. M2 2 1 2 4 PMOS W=577792u L=0.20u
  11500. R1 4 3 RTEMP 125E-3
  11501. CGS 1 2 5p
  11502. DBD 2 4 DBD
  11503. ************************************************************
  11504. .MODEL NMOS NMOS (LEVEL=3 TOX=1.7E-8
  11505. + RS=175E-3 RD=0 NSUB=8.6E17
  11506. + KP=0.7E-5 UO=650
  11507. + VMAX=0 XJ=5E-7 KAPPA=1E-2
  11508. + ETA=1E-4 TPG=1
  11509. + IS=0 LD=0
  11510. + CGSO=0 CGDO=0 CGBO=0
  11511. + NFS=0.8E12 )
  11512. ************************************************************
  11513. .MODEL PMOS PMOS (LEVEL=3 TOX=1.7E-8
  11514. +NSUB=1.2E16 TPG=-1)
  11515. ************************************************************
  11516. .MODEL DBD D (CJO=120p VJ=0.38 M=0.38
  11517. +RS=0.01 FC=0.1 IS=1p TT=2.8E-8 N=1 BV=20.2)
  11518. ************************************************************
  11519. .MODEL RTEMP RES (TC1=6.5E-3 TC2=5.5E-6)
  11520. ************************************************************
  11521. .ENDS
  11522.  
  11523. *March 18, 2004
  11524. .SUBCKT TN0201KL 4 1 2
  11525. M1 3 1 2 2 NMOS W=26124u L=0.50u
  11526. M2 2 1 2 4 PMOS W=26124u L=0.80u
  11527. R1 4 3 RTEMP 23E-2
  11528. CGS 1 2 26p
  11529. DBD 2 4 DBD
  11530. ************************************************************
  11531. .MODEL NMOS NMOS ( LEVEL=3 TOX=5E-8
  11532. + RS=11E-2 RD=0 NSUB=1.65E17
  11533. + KP=2E-5 UO=650
  11534. + VMAX=0 XJ=5E-7 KAPPA=1E-1
  11535. + ETA=1E-4 TPG=1
  11536. + IS=0 LD=0
  11537. + CGSO=0 CGDO=0 CGBO=0
  11538. + NFS=0.8E12 )
  11539. ************************************************************
  11540. .MODEL PMOS PMOS ( LEVEL=3 TOX=5E-8
  11541. +NSUB=1.2E17 TPG=-1)
  11542. ************************************************************
  11543. .MODEL DBD D (CJO=26p VJ=0.38 M=0.19
  11544. +RS=1 FC=0.1 IS=1p TT=5E-8 N=1 BV=20.5)
  11545. ************************************************************
  11546. .MODEL RTEMP RES (TC1=5E-3 TC2=5.5E-6)
  11547. ************************************************************
  11548. .ENDS
  11549.  
  11550. *March 31, 2004
  11551. .SUBCKT TN0201K 4 1 2
  11552. M1 3 1 2 2 NMOS W=26124u L=0.50u
  11553. M2 2 1 2 4 PMOS W=26124u L=0.80u
  11554. R1 4 3 RTEMP 23E-2
  11555. CGS 1 2 26p
  11556. DBD 2 4 DBD
  11557. ************************************************************
  11558. .MODEL NMOS NMOS ( LEVEL=3 TOX=5E-8
  11559. + RS=11E-2 RD=0 NSUB=1.65E17
  11560. + KP=2E-5 UO=650
  11561. + VMAX=0 XJ=5E-7 KAPPA=1E-1
  11562. + ETA=1E-4 TPG=1
  11563. + IS=0 LD=0
  11564. + CGSO=0 CGDO=0 CGBO=0
  11565. + NFS=0.8E12 )
  11566. ************************************************************
  11567. .MODEL PMOS PMOS ( LEVEL=3 TOX=5E-8
  11568. +NSUB=1.2E17 TPG=-1)
  11569. ************************************************************
  11570. .MODEL DBD D (CJO=26p VJ=0.38 M=0.19
  11571. +RS=1 FC=0.1 IS=1p TT=5E-8 N=1 BV=20.5)
  11572. ************************************************************
  11573. .MODEL RTEMP RES (TC1=5E-3 TC2=5.5E-6)
  11574. ************************************************************
  11575. .ENDS
  11576.  
  11577. * NDS352P ELECTRICAL MODEL (SuperSOT-3 SOT-23 P-Ch DMOS)
  11578. * ------------------------
  11579. .SUBCKT NDS352P 20 10 30
  11580. Rg 10 1 1
  11581. M1 2 1 3 3 DMOS L=1u W=1u
  11582. .MODEL DMOS PMOS (VTO={-2.3*{-0.00184*TEMP+1.046}} KP={-0.003*TEMP+2.075}
  11583. + THETA=0.096 VMAX=4E5 LEVEL=3)
  11584. Cgs 1 3 170p
  11585. Rd 20 4 30m TC=0.00248
  11586. Dds 4 3 DDS
  11587. .MODEL DDS D(BV={30*{0.0006*TEMP+0.985}} M=0.3 CJO=200p VJ=0.8)
  11588. Dbody 20 3 DBODY
  11589. .MODEL DBODY D(IS=1.4E-13 N=1 RS=40m TT=100n)
  11590. Ra 4 2 160m TC=0.00248
  11591. Rs 3 5 1m
  11592. Ls 5 30 0.5n
  11593. M2 1 8 6 6 INTER
  11594. E2 8 6 4 1 2
  11595. .MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
  11596. Cgdmax 7 4 230p
  11597. Rcgd 7 4 10meg
  11598. Dgd 4 6 DGD
  11599. Rdgd 4 6 10meg
  11600. .MODEL DGD D(M=0.5 CJO=230p VJ=0.12)
  11601. M3 7 9 1 1 INTER
  11602. E3 9 1 4 1 -2
  11603. .ENDS
  11604.  
  11605. *MTD20P06HDL MCE 6/12/97
  11606. *Ref: Motorola TMOS Databook Q2/96
  11607. *60V 15A .143ohm Power MOSFET pkg:DPAK 1,2,3
  11608. .SUBCKT MTD20P06HDL 10 20 40
  11609. * TERMINALS: D G S
  11610. M1 1 2 3 3 DMOS L=1U W=1U
  11611. RD 10 1 66.9M
  11612. RS 40 3 4.58M
  11613. RG 20 2 24.1
  11614. CGS 2 3 784P
  11615. EGD 12 0 1 2 1
  11616. VFB 14 0 0
  11617. FFB 1 2 VFB 1
  11618. CGD 13 14 847P
  11619. R1 13 0 1
  11620. D1 12 13 DLIM
  11621. DDG 15 14 DCGD
  11622. R2 12 15 1
  11623. D2 15 0 DLIM
  11624. DSD 10 3 DSUB
  11625. LS 30 40 7.5N
  11626. .MODEL DMOS PMOS (LEVEL=3 VMAX=125K THETA=80M ETA=2M VTO=1.7 KP=22.4)
  11627. .MODEL DCGD D (CJO=847P VJ=0.6 M=0.68)
  11628. .MODEL DSUB D (IS=62.3N N=1.5 RS=0.117 BV=60 CJO=619P VJ=0.8 M=0.42 TT=64N)
  11629. .MODEL DLIM D (IS=100U)
  11630. .ENDS
  11631. **********
  11632. *MTD2955V MCE 6/12/97
  11633. *Ref: Motorola TMOS Databook Q2/96
  11634. *60V 12A .185ohm Power MOSFET pkg:DPAK 1,2,3
  11635. .SUBCKT MTD2955V 10 20 40
  11636. * TERMINALS: D G S
  11637. M1 1 2 3 3 DMOS L=1U W=1U
  11638. RD 10 1 86.9M
  11639. RS 40 3 5.62M
  11640. RG 20 2 25.9
  11641. CGS 2 3 460P
  11642. EGD 12 0 1 2 1
  11643. VFB 14 0 0
  11644. FFB 1 2 VFB 1
  11645. CGD 13 14 513P
  11646. R1 13 0 1
  11647. D1 12 13 DLIM
  11648. DDG 15 14 DCGD
  11649. R2 12 15 1
  11650. D2 15 0 DLIM
  11651. DSD 10 3 DSUB
  11652. LS 30 40 7.5N
  11653. .MODEL DMOS PMOS (LEVEL=3 VMAX=125K THETA=64.3M ETA=2M VTO=2.8 KP=5.66)
  11654. .MODEL DCGD D (CJO=513P VJ=0.6 M=0.68)
  11655. .MODEL DSUB D (IS=49.8N N=1.5 RS=87.5M BV=60 CJO=688P VJ=0.8 M=0.42 TT=114N)
  11656. .MODEL DLIM D (IS=100U)
  11657. .ENDS
  11658.  
  11659. *MTD3055V MCE 6/9/97
  11660. *Ref: Motorola TMOS Databook Q2/96
  11661. *60V 12A .1ohm Power MOSFET pkg:DPAK 1,2,3
  11662. .SUBCKT MTD3055V 10 20 40
  11663. * TERMINALS: D G S
  11664. M1 1 2 3 3 DMOS L=1U W=1U
  11665. RD 10 1 46.5M
  11666. RS 40 3 3.5M
  11667. RG 20 2 23.2
  11668. CGS 2 3 385P
  11669. EGD 12 0 2 1 1
  11670. VFB 14 0 0
  11671. FFB 2 1 VFB 1
  11672. CGD 13 14 321P
  11673. R1 13 0 1
  11674. D1 12 13 DLIM
  11675. DDG 15 14 DCGD
  11676. R2 12 15 1
  11677. D2 15 0 DLIM
  11678. DSD 3 10 DSUB
  11679. LS 30 40 7.5N
  11680. .MODEL DMOS NMOS (LEVEL=3 VMAX=125K THETA=66.7M ETA=2M VTO=2.7 KP=5.68)
  11681. .MODEL DCGD D (CJO=321P VJ=0.6 M=0.68)
  11682. .MODEL DSUB D (IS=49.8N N=1.5 RS=31.7M BV=60 CJO=452P VJ=0.8 M=0.42 TT=56N)
  11683. .MODEL DLIM D (IS=100U)
  11684. .ENDS
  11685.  
  11686. *ZETEX ZVP2120G Spice Model v1.0 Last Revised 10/8/05
  11687. *
  11688. .SUBCKT ZVP2120G 3 4 5
  11689. * D G S
  11690. M1 13 20 5 5 Pmod1
  11691. RG 4 2 100
  11692. RIN 2 5 1E9
  11693. RL 3 5 1.2E8
  11694. RD 3 13 Rmod1 22
  11695. C1 2 5 55p
  11696. **C2 3 2 15p
  11697. D1 3 5 Dmod1
  11698. D2 3 17 Dmod2
  11699. Egs1 2 17 2 5 1
  11700. Egt1 2 20 5 21 1
  11701. Vgt1 5 22 1
  11702. Igt1 5 21 1
  11703. Rgt 21 22 Rmod2 1
  11704. .MODEL Pmod1 PMOS VTO=-2.8 RS=2 IS=1f KP=0.17
  11705. +CBD=60p PB=1 LAMBDA=6E-3
  11706. .MODEL Dmod1 D IS=5p RS=2 BV=220
  11707. .MODEL Dmod2 D CJO=70p IS=1e-30 N=10
  11708. .MODEL Rmod1 RES (TC1=4.5e-3 TC2=4E-5)
  11709. .MODEL Rmod2 RES (TC1=-2.5e-3 TC2=3e-6)
  11710. .ENDS ZVP2120G
  11711.  
  11712.  
  11713. *FDC5614P at Temp. Electrical Model
  11714. *------------------------------------------------------
  11715. .SUBCKT FDC5614P 20 10 30
  11716. *20=DRAIN 10=GATE 30=SOURCE 50=VTEMP
  11717. V50 50 0 {TEMP}
  11718. Rg 10 11x 1
  11719. Rdu 12x 1 1u
  11720. M1 2 1 4x 4x DMOS L=1u W=1u
  11721. .MODEL DMOS PMOS(VTO=-2 KP=13
  11722. +THETA=0.1 VMAX=3E5 LEVEL=3)
  11723. Cgs 1 5x 750p
  11724. Rd 20 4 3.2E-2
  11725. Dds 4 5x DDS
  11726. .MODEL DDS D(M=4.22E-1 VJ=7.65E-1 CJO=253p)
  11727. Dbody 20 5x DBODY
  11728. .MODEL DBODY D(IS=4.7p N=1.116224 RS=0.000695 TT=30.4n)
  11729. Ra 4 2 3.2E-2
  11730. Rs 5x 5 0.5m
  11731. Ls 5 30 0.5n
  11732. M2 1 8 6 6 INTER
  11733. E2 8 6 4 1 2
  11734. .MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
  11735. Cgdmax 7 4 652p
  11736. Rcgd 7 4 10meg
  11737. Dgd 4 6 DGD
  11738. Rdgd 4 6 10meg
  11739. .MODEL DGD D(M=3.2E-1 VJ=7.7E-3 CJO=652p)
  11740. M3 7 9 1 1 INTER
  11741. E3 9 1 4 1 -2
  11742. *ZX SECTION
  11743. EOUT 4x 6x poly(2) (1x,0) (3x,0) 0 0 0 0 1
  11744. FCOPY 0 3x VSENSE 1
  11745. RIN 1x 0 1G
  11746. VSENSE 6x 5x 0
  11747. RREF 3x 0 10m
  11748. *TEMP SECTION
  11749. ED 101 0 VALUE {V(50,100)}
  11750. VAMB 100 0 25
  11751. EKP 1x 0 101 0 .042
  11752. *VTO SECTION
  11753. EVTO 102 0 101 0 .004
  11754. EVT 11x 12x 102 0 1
  11755. *DIODE THERMO BREAKDOWN SECTION
  11756. EBL VB1 VB2 101 0 0.8
  11757. VBLK VB2 0 60
  11758. D DB1 20 DBLK
  11759. .MODEL DBLK D(IS=1E-14 CJO=.1p RS=.1)
  11760. EDB 0 DB1 VB1 0 1
  11761. .ENDS FDC5614P
  11762.  
  11763. .SUBCKT FDN5618P 20 10 30
  11764. *20=DRAIN 10=GATE 30=SOURCE 50=VTEMP
  11765. V50 50 0 {TEMP}
  11766. Rg 10 11x 1
  11767. Rdu 12x 1 1u
  11768. M1 2 1 4x 4x DMOS L=1u W=1u
  11769. .MODEL DMOS PMOS(VTO=-1.8 KP=6.5
  11770. +THETA=0.1 VMAX=3E5 LEVEL=3)
  11771. Cgs 1 5x 428p
  11772. Rd 20 4 5.65E-2
  11773. Dds 4 5x DDS
  11774. .MODEL DDS D(M=4.08E-1 VJ=1.89E-2 CJO=174p)
  11775. Dbody 20 5x DBODY
  11776. .MODEL DBODY D(IS=5.53p N=1.140525 RS=0.001 TT=32n)
  11777. Ra 4 2 5.65E-2
  11778. Rs 5x 5 0.5m
  11779. Ls 5 30 0.5n
  11780. M2 1 8 6 6 INTER
  11781. E2 8 6 4 1 2
  11782. .MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
  11783. Cgdmax 7 4 349p
  11784. Rcgd 7 4 10meg
  11785. Dgd 4 6 DGD
  11786. Rdgd 4 6 10meg
  11787. .MODEL DGD D(M=3.8E-1 VJ=1.37E-2 CJO=349p)
  11788. M3 7 9 1 1 INTER
  11789. E3 9 1 4 1 -2
  11790. *ZX SECTION
  11791. EOUT 4x 6x poly(2) (1x,0) (3x,0) 0 0 0 0 1
  11792. FCOPY 0 3x VSENSE 1
  11793. RIN 1x 0 1G
  11794. VSENSE 6x 5x 0
  11795. RREF 3x 0 10m
  11796. *TEMP SECTION
  11797. ED 101 0 VALUE {V(50,100)}
  11798. VAMB 100 0 25
  11799. EKP 1x 0 101 0 .09
  11800. *VTO SECTION
  11801. EVTO 102 0 101 0 .0025
  11802. EVT 11x 12x 102 0 1
  11803. *DIODE THERMO BREAKDOWN SECTION
  11804. EBL VB1 VB2 101 0 0.8
  11805. VBLK VB2 0 60
  11806. D DB1 20 DBLK
  11807. .MODEL DBLK D(IS=1E-14 CJO=.1p RS=.1)
  11808. EDB 0 DB1 VB1 0 1
  11809. .ENDS FDN5618P
  11810.  
  11811. .SUBCKT HUF76639 2 1 3 ; rev 26 July 1999
  11812. CA 12 8 4.2e-9
  11813. CB 15 14 4.2e-9
  11814. CIN 6 8 2.27e-9
  11815. DBODY 7 5 DBODYMOD
  11816. DBREAK 5 11 DBREAKMOD
  11817. DPLCAP 10 5 DPLCAPMOD
  11818. EBREAK 11 7 17 18 118.2
  11819. EDS 14 8 5 8 1
  11820. EGS 13 8 6 8 1
  11821. ESG 6 10 6 8 1
  11822. EVTHRES 6 21 19 8 1
  11823. EVTEMP 20 6 18 22 1
  11824. IT 8 17 1
  11825. LDRAIN 2 5 1.0e-9
  11826. LGATE 1 9 5.1e-9
  11827. LSOURCE 3 7 3.1e-9
  11828. MMED 16 6 8 8 MMEDMOD
  11829. MSTRO 16 6 8 8 MSTROMOD
  11830. MWEAK 16 21 8 8 MWEAKMOD
  11831. RBREAK 17 18 RBREAKMOD 1
  11832. RDRAIN 50 16 RDRAINMOD 15.8e-3
  11833. RGATE 9 20 1.94
  11834. RLDRAIN 2 5 10
  11835. RLGATE 1 9 51
  11836. RLSOURCE 3 7 31
  11837. RSLC1 5 51 RSLCMOD 1e-6
  11838. RSLC2 5 50 1e3
  11839. RSOURCE 8 7 RSOURCEMOD 3.6e-3
  11840. RVTHRES 22 8 RVTHRESMOD 1
  11841. RVTEMP 18 19 RVTEMPMOD 1
  11842. S1A 6 12 13 8 S1AMOD
  11843. S1B 13 12 13 8 S1BMOD
  11844. S2A 6 15 14 13 S2AMOD
  11845. S2B 13 15 14 13 S2BMOD
  11846. VBAT 22 19 DC 1
  11847. ESLC 51 50 VALUE={(V(5,51)/ABS(V(5,51)))*(PWR(V(5,51)/99e-6,3.5))}
  11848. .MODEL DBODYMOD D (IS=2.6p RS=2.65e-3 IKF=6 TRS1=1.5e-3 TRS2=3.5e-6 CJO=2.1e-9 TT=5.6e-8 M=0.52)
  11849. .MODEL DBREAKMOD D (RS=2.5e-1 TRS1=1e-4 TRS2=-1e-6)
  11850. .MODEL DPLCAPMOD D (CJO=2.6e-9 IS=1e-30 M=0.89 N=10)
  11851. .MODEL MMEDMOD NMOS (VTO=1.77 KP=7 IS=1e-30 N=10 TOX=1 L=1U W=1U RG=1.94)
  11852. .MODEL MSTROMOD NMOS (VTO=2.06 KP=95 IS=1e-30 N=10 TOX=1 L=1U W=1U)
  11853. .MODEL MWEAKMOD NMOS (VTO=1.48 KP=0.12 IS=1e-30 N=10 TOX=1 L=1U W=1U RG=19.4 RS=.1)
  11854. .MODEL RBREAKMOD RES (TC1=1.05e-3 TC2=-5e-7)
  11855. .MODEL RDRAINMOD RES (TC1=8.5e-3 TC2=2.3e-5)
  11856. .MODEL RSLCMOD RES (TC1=3.4e-3 TC2=2.5e-6)
  11857. .MODEL RSOURCEMOD RES (TC1=1e-3 TC2=1e-6)
  11858. .MODEL RVTHRESMOD RES (TC1=-1.9e-3 TC2=-4.5e-6)
  11859. .MODEL RVTEMPMOD RES (TC1=-1.7e-3 TC2=1.5e-6)
  11860. .MODEL S1AMOD VSWITCH (RON=1e-5 ROFF=0.1 VON=-4.5 VOFF=-2.0)
  11861. .MODEL S1BMOD VSWITCH (RON=1e-5 ROFF=0.1 VON=-2.0 VOFF=-4.5)
  11862. .MODEL S2AMOD VSWITCH (RON=1e-5 ROFF=0.1 VON=-0.5 VOFF=0.3)
  11863. .MODEL S2BMOD VSWITCH (RON=1e-5 ROFF=0.1 VON=0.3 VOFF=-0.5)
  11864. .ENDS
  11865.  
  11866. *Oct 12, 2005
  11867. .SUBCKT Si2337DS D G S
  11868. M1 3 G S S PMOS W=743738u L=0.25u
  11869. M2 S G S D NMOS W=743738u L=0.40u
  11870. R1 D 3 RTEMP 11E-2
  11871. CGS G S 500p
  11872. DBD D S DBD
  11873. .MODEL PMOS PMOS ( LEVEL=3 TOX=5E-8
  11874. + RS=7E-2 RD=0 NSUB=2.6E17
  11875. + KP=4.4E-6 UO=400
  11876. + VMAX=0 XJ=5E-7 KAPPA=1E-1
  11877. + ETA=1E-4 TPG=-1
  11878. + IS=0 LD=0
  11879. + CGSO=0 CGDO=0 CGBO=0
  11880. + NFS=0.8E12 )
  11881. .MODEL NMOS NMOS ( LEVEL=3 TOX=5E-8
  11882. +NSUB=5E15 TPG=-1)
  11883. .MODEL DBD D (CJO=50p VJ=0.38 M=0.46
  11884. +RS=0.1 FC=0.5 IS=1p TT=6E-8 N=1 BV=81)
  11885. .MODEL RTEMP RES (TC1=9E-3 TC2=5.5E-6)
  11886. .ENDS
  11887.  
  11888. *February 12, 2007
  11889. .SUBCKT Si2309DS 4 1 2
  11890. M1 3 1 2 2 PMOS W=181400u L=0.30u
  11891. M2 2 1 2 4 NMOS W=181400u L=1.50u
  11892. R1 4 3 RTEMP 150E-3
  11893. CGS 1 2 240p
  11894. DBD 4 2 DBD
  11895. .MODEL PMOS PMOS ( LEVEL=3 TOX=5E-8
  11896. + RS=127E-3 RD=0 NSUB=0.87E17
  11897. + kp=0.69E-5 UO=400
  11898. + VMAX=0 XJ=0.5E-6 KAPPA=24E-3
  11899. + ETA=1E-4 TPG=-1
  11900. + IS=0 LD=0
  11901. + CGSO=0 CGDO=0 CGBO=0
  11902. + NFS=0.8E12 )
  11903. .MODEL NMOS NMOS ( LEVEL=3 TOX=5E-8
  11904. +NSUB=47E15 TPG=1)
  11905. .MODEL DBD D (CJO=100p VJ=0.38 M=0.38
  11906. +RS=0.1 FC=0.5 IS=1p TT=3.6E-8 N=1 BV=62)
  11907. .MODEL RTEMP RES (TC1=9.5E-3 TC2=5.5E-6)
  11908. .ENDS
  11909.  
  11910. *NDS7002A ELECTRICAL MODEL (SOT-23 Single N-Ch DMOS)
  11911. * -----------------------
  11912. .SUBCKT NDS7002A 20 10 30
  11913. Rg 10 1 1
  11914. M1 2 1 3 3 DMOS L=1u W=1u
  11915. .MODEL DMOS NMOS (VTO={2.1*{-0.0016*TEMP+1.04}} KP=0.35 THETA=0.086
  11916. + VMAX=2.2E5 LEVEL=3)
  11917. Cgs 1 3 60p
  11918. Rd 20 4 0.3 TC=0.0075
  11919. Dds 3 4 DDS
  11920. .MODEL DDS D(BV={60*{0.00072*TEMP+0.982}} M=0.36 CJO=23p VJ=0.8)
  11921. Dbody 3 20 DBODY
  11922. .MODEL DBODY D(IS=1.4E-13 N=1 RS=40m TT=100n)
  11923. Ra 4 2 0.4 TC=0.0075
  11924. Rs 3 5 10m
  11925. Ls 5 30 .5n
  11926. M2 1 8 6 6 INTER
  11927. E2 8 6 4 1 2
  11928. .MODEL INTER NMOS(VTO=0 KP=10 LEVEL=1)
  11929. Cgdmax 7 4 85p
  11930. Rcgd 7 4 10meg
  11931. Dgd 6 4 DGD
  11932. Rdgd 4 6 10meg
  11933. .MODEL DGD D(M=0.53 CJO=85p VJ=0.12)
  11934. M3 7 9 1 1 INTER
  11935. E3 9 1 4 1 -2
  11936. .ENDS
  11937.  
  11938. .SUBCKT Si7402DN 4 1 2
  11939. M1 3 1 2 2 NMOS W=3008331u L=0.25u
  11940. M2 2 1 2 4 PMOS W=3008331u L=0.20u
  11941. R1 4 3 1m TC=9m, TC2=5.5u
  11942. CGS 1 2 2200p
  11943. DBD 2 4 DBD
  11944. .MODEL NMOS NMOS ( LEVEL=3 TOX=1.7E-8
  11945. + RS=3E-3 RD=0 NSUB=2.95E17
  11946. + KP=3.2E-5 UO=650
  11947. + VMAX=0 XJ=5E-7 KAPPA=1E-1
  11948. + ETA=1E-4 TPG=1
  11949. + IS=0 LD=0
  11950. + CGSO=0 CGDO=0 CGBO=0
  11951. + NFS=0.8E12 )
  11952. .MODEL PMOS PMOS ( LEVEL=3 TOX=1.7E-8 NSUB=2.7E17 TPG=-1)
  11953. .MODEL DBD D (CJO=1250p VJ=0.38 M=0.34 RS=0.1 FC=0.5 IS=1p TT=5E-8 N=1 BV=12.1)
  11954. .ENDS
  11955.  
  11956. *.SUBCKT BSS192P_L0 drain gate source
  11957. .SUBCKT BSS192P_L0 d1 g1 s1
  11958. *Lg gate g1 3n
  11959. *Ld drain d1 1n
  11960. *Ls source s1 3n
  11961. Rs s1 s2 0.08
  11962. Rg g1 g2 65
  11963. M1 d2 g2 s2 s2 DMOS L=1u W=1u
  11964. .MODEL DMOS PMOS ( KP= 0.804 VTO=-1.7 VMAX=1.5e5 LEVEL=3)
  11965. Rd d2 d1a 7.46 TC=9m
  11966. .MODEL MVDR PMOS (KP=7.71 VTO=1.4 LAMBDA=0.1)
  11967. Mr d1 d2a d1a d1a MVDR W=1u L=1u
  11968. Rx d2a d1a 1m
  11969. Dbd d2 s2 Dbt
  11970. .MODEL Dbt D(BV=300 M=0.38 CJO=38.19p VJ=0.5V)
  11971. Dbody 21 s2 DBODY
  11972. .MODEL DBODY D(IS=10.1p N=1.2 RS=199u EG=1.12 TT=200n)
  11973. Rdiode d1 21 194.03m TC=3m
  11974. .MODEL sw PMOS(VTO=-1m KP=10 LEVEL=1)
  11975. Maux g2 c a a sw
  11976. Maux2 b d g2 g2 sw
  11977. Eaux c a d2 g2 1
  11978. Eaux2 d g2 d2 g2 -1
  11979. Cox b d2 222.44p
  11980. .MODEL DGD D(M=0.9 CJO=222.44p VJ=0.5)
  11981. Rpar b d2 1Meg
  11982. Dgd d2 a DGD
  11983. Rpar2 d2 a 10Meg
  11984. Cgs g2 s2 77.05p
  11985. .ENDS BSS192P_L0
  11986.  
  11987. *ZETEX ZVP4525G Spice Model v1.0 Last Revised 6/01/2005
  11988. *
  11989. .SUBCKT ZVP4525G 3 4 5
  11990. *---connections---D-G-S
  11991. M1 6 20 8 8 MOSMOD1
  11992. M2 6 20 8 8 MOSMOD2
  11993. RG 4 2 7
  11994. RIN 2 8 200E6
  11995. RD 3 6 RMOD1 11
  11996. RS 8 5 RMOD1 0.5
  11997. RB 3 7 RMOD1 0.2
  11998. RL 3 5 250E6
  11999. C1 2 8 80p
  12000. C2 2 3 12p
  12001. C3 15 14 175p
  12002. C4 16 8 175p
  12003. D1 7 5 DMOD1
  12004. S1 2 15 14 13 SMOD1a
  12005. S2 13 15 14 13 SMOD1b
  12006. S3 16 13 13 8 SMOD2a
  12007. S4 16 2 13 8 SMOD2b
  12008. Egs2 13 8 2 8 1
  12009. Eds1 14 8 3 8 1
  12010. Egt1 2 20 5 21 1
  12011. Vgt1 5 22 1
  12012. Igt1 5 21 1
  12013. Rgt 21 22 RMOD2 1
  12014. .MODEL MOSMOD1 PMOS VTO=-1.5 IS=1f KP=0.15
  12015. .MODEL MOSMOD2 PMOS VTO=-.9 IS=1f KP=0.0015
  12016. .MODEL DMOD1 D IS=4.14E-13 N=1.0078 CJO=100p BV=260
  12017. .MODEL SMOD1a VSWITCH RON=.01 ROFF=1e3 VON=1.7 VOFF=-2.75
  12018. .MODEL SMOD1b VSWITCH RON=.01 ROFF=1e3 VON=-2.75 VOFF=1.7
  12019. .MODEL SMOD2a VSWITCH RON=.01 ROFF=1e3 VON=1.5 VOFF=3.5
  12020. .MODEL SMOD2b VSWITCH RON=.01 ROFF=1e3 VON=3.5 VOFF=1.5
  12021. .MODEL RMOD1 RES (TC1=8e-3 TC2=1.5e-5)
  12022. .MODEL RMOD2 RES (TC1=-1.9e-3 TC2=1e-6)
  12023. .ENDS
  12024. .SUBCKT ZVP4525Z 3 4 5
  12025. *---connections---D-G-S
  12026. M1 6 20 8 8 MOSMOD1
  12027. M2 6 20 8 8 MOSMOD2
  12028. RG 4 2 7
  12029. RIN 2 8 200E6
  12030. RD 3 6 RMOD1 11
  12031. RS 8 5 RMOD1 0.5
  12032. RB 3 7 RMOD1 0.2
  12033. RL 3 5 250E6
  12034. C1 2 8 80p
  12035. C2 2 3 12p
  12036. C3 15 14 175p
  12037. C4 16 8 175p
  12038. D1 7 5 DMOD1
  12039. S1 2 15 14 13 SMOD1a
  12040. S2 13 15 14 13 SMOD1b
  12041. S3 16 13 13 8 SMOD2a
  12042. S4 16 2 13 8 SMOD2b
  12043. Egs2 13 8 2 8 1
  12044. Eds1 14 8 3 8 1
  12045. Egt1 2 20 5 21 1
  12046. Vgt1 5 22 1
  12047. Igt1 5 21 1
  12048. Rgt 21 22 RMOD2 1
  12049. .MODEL MOSMOD1 PMOS VTO=-1.5 IS=1f KP=0.15
  12050. .MODEL MOSMOD2 PMOS VTO=-.9 IS=1f KP=0.0015
  12051. .MODEL DMOD1 D IS=4.14E-13 N=1.0078 CJO=100p BV=260
  12052. .MODEL SMOD1a VSWITCH RON=.01 ROFF=1e3 VON=1.7 VOFF=-2.75
  12053. .MODEL SMOD1b VSWITCH RON=.01 ROFF=1e3 VON=-2.75 VOFF=1.7
  12054. .MODEL SMOD2a VSWITCH RON=.01 ROFF=1e3 VON=1.5 VOFF=3.5
  12055. .MODEL SMOD2b VSWITCH RON=.01 ROFF=1e3 VON=3.5 VOFF=1.5
  12056. .MODEL RMOD1 RES (TC1=8e-3 TC2=1.5e-5)
  12057. .MODEL RMOD2 RES (TC1=-1.9e-3 TC2=1e-6)
  12058. .ENDS
  12059. *
  12060. *$
  12061. *
  12062. *ZETEX ZVP4525E6 Spice Model v1.0 Last Revised 6/01/2005
  12063. *
  12064. .SUBCKT ZVP4525E6 3 4 5
  12065. *---connections---D-G-S
  12066. M1 6 20 8 8 MOSMOD1
  12067. M2 6 20 8 8 MOSMOD2
  12068. RG 4 2 7
  12069. RIN 2 8 200E6
  12070. RD 3 6 RMOD1 11
  12071. RS 8 5 RMOD1 0.5
  12072. RB 3 7 RMOD1 0.2
  12073. RL 3 5 250E6
  12074. C1 2 8 80p
  12075. C2 2 3 12p
  12076. C3 15 14 175p
  12077. C4 16 8 175p
  12078. D1 7 5 DMOD1
  12079. S1 2 15 14 13 SMOD1a
  12080. S2 13 15 14 13 SMOD1b
  12081. S3 16 13 13 8 SMOD2a
  12082. S4 16 2 13 8 SMOD2b
  12083. Egs2 13 8 2 8 1
  12084. Eds1 14 8 3 8 1
  12085. Egt1 2 20 5 21 1
  12086. Vgt1 5 22 1
  12087. Igt1 5 21 1
  12088. Rgt 21 22 RMOD2 1
  12089. .MODEL MOSMOD1 PMOS VTO=-1.5 IS=1f KP=0.15
  12090. .MODEL MOSMOD2 PMOS VTO=-.9 IS=1f KP=0.0015
  12091. .MODEL DMOD1 D IS=4.14E-13 N=1.0078 CJO=100p BV=260
  12092. .MODEL SMOD1a VSWITCH RON=.01 ROFF=1e3 VON=1.7 VOFF=-2.75
  12093. .MODEL SMOD1b VSWITCH RON=.01 ROFF=1e3 VON=-2.75 VOFF=1.7
  12094. .MODEL SMOD2a VSWITCH RON=.01 ROFF=1e3 VON=1.5 VOFF=3.5
  12095. .MODEL SMOD2b VSWITCH RON=.01 ROFF=1e3 VON=3.5 VOFF=1.5
  12096. .MODEL RMOD1 RES (TC1=8e-3 TC2=1.5e-5)
  12097. .MODEL RMOD2 RES (TC1=-1.9e-3 TC2=1e-6)
  12098. .ENDS
  12099.  
  12100. .SUBCKT ZVP4424A 3 4 5
  12101. *------connections-------D-G-S
  12102. *
  12103. M1 6 2 8 8 P4424AM
  12104. M2 6 2 8 8 P4424AMS
  12105. RG 4 2 27
  12106. RD 3 6 5.5
  12107. RS 8 5 0.6
  12108. RL 3 5 240E6
  12109. C1 2 8 120p
  12110. C2 2 3 20p
  12111. C3 15 14 260p
  12112. C4 16 8 233p
  12113. D1 3 5 P4424AD
  12114. S1 2 15 13 14 SMOD1
  12115. S2 13 15 14 13 SMOD1
  12116. S3 16 13 13 8 SMOD2
  12117. S4 16 2 8 13 SMOD2
  12118. Egs2 13 8 2 8 1
  12119. Eds1 14 8 3 8 1
  12120. .MODEL P4424AM PMOS VTO=-1.4 IS=1f KP=0.26 CBD=65.3p PB=1
  12121. .MODEL P4424AMS PMOS VTO=-1.04 IS=1f KP=0.0026 CBD=0.653p PB=1
  12122. .MODEL P4424AD D IS=9.36E-13 RS=.196 N=1.045
  12123. .MODEL SMOD1 VSWITCH RON=.001 ROFF=100 VON=-1.5 VOFF=-1
  12124. .MODEL SMOD2 VSWITCH RON=.001 ROFF=100 VON=0.5 VOFF=2.5
  12125. .ENDS ZVP4424A
  12126. *
  12127. *$
  12128. *
  12129. *ZETEX ZVP4424C Spice Model v1.1 Last Revised 21/3/01
  12130. *
  12131. .SUBCKT ZVP4424C 3 4 5
  12132. *------connections-------D-G-S
  12133. *
  12134. M1 6 2 8 8 P4424AM
  12135. M2 6 2 8 8 P4424AMS
  12136. RG 4 2 27
  12137. RD 3 6 5.5
  12138. RS 8 5 0.6
  12139. RL 3 5 240E6
  12140. C1 2 8 120p
  12141. C2 2 3 20p
  12142. C3 15 14 260p
  12143. C4 16 8 233p
  12144. D1 3 5 P4424AD
  12145. S1 2 15 13 14 SMOD1
  12146. S2 13 15 14 13 SMOD1
  12147. S3 16 13 13 8 SMOD2
  12148. S4 16 2 8 13 SMOD2
  12149. Egs2 13 8 2 8 1
  12150. Eds1 14 8 3 8 1
  12151. .MODEL P4424AM PMOS VTO=-1.4 IS=1f KP=0.26 CBD=65.3p PB=1
  12152. .MODEL P4424AMS PMOS VTO=-1.04 IS=1f KP=0.0026 CBD=0.653p PB=1
  12153. .MODEL P4424AD D IS=9.36E-13 RS=.196 N=1.045
  12154. .MODEL SMOD1 VSWITCH RON=.001 ROFF=100 VON=-1.5 VOFF=-1
  12155. .MODEL SMOD2 VSWITCH RON=.001 ROFF=100 VON=0.5 VOFF=2.5
  12156. .ENDS ZVP4424C
  12157. *
  12158. *$
  12159. *
  12160. *ZETEX ZVP4424G Spice Model v1.1 Last Revised 21/3/01
  12161. *
  12162. .SUBCKT ZVP4424G 3 4 5
  12163. *------connections-------D-G-S
  12164. *
  12165. M1 6 2 8 8 P4424AM
  12166. M2 6 2 8 8 P4424AMS
  12167. RG 4 2 27
  12168. RD 3 6 5.5
  12169. RS 8 5 0.6
  12170. RL 3 5 240E6
  12171. C1 2 8 120p
  12172. C2 2 3 20p
  12173. C3 15 14 260p
  12174. C4 16 8 233p
  12175. D1 3 5 P4424AD
  12176. S1 2 15 13 14 SMOD1
  12177. S2 13 15 14 13 SMOD1
  12178. S3 16 13 13 8 SMOD2
  12179. S4 16 2 8 13 SMOD2
  12180. Egs2 13 8 2 8 1
  12181. Eds1 14 8 3 8 1
  12182. .MODEL P4424AM PMOS VTO=-1.4 IS=1f KP=0.26 CBD=65.3p PB=1
  12183. .MODEL P4424AMS PMOS VTO=-1.04 IS=1f KP=0.0026 CBD=0.653p PB=1
  12184. .MODEL P4424AD D IS=9.36E-13 RS=.196 N=1.045
  12185. .MODEL SMOD1 VSWITCH RON=.001 ROFF=100 VON=-1.5 VOFF=-1
  12186. .MODEL SMOD2 VSWITCH RON=.001 ROFF=100 VON=0.5 VOFF=2.5
  12187. .ENDS ZVP4424G
  12188.  
  12189.  
  12190.  
  12191. * fdv303n electrical model (sot-23 n-ch dmos)
  12192. .subckt fdv303n d g s
  12193. q d s g za
  12194. .model za npn bf=0.05
  12195. rg g 1 1
  12196. m1 2 1 3 3 dmos l=1u w=1u
  12197. .model dmos nmos (vto=0.9 kp=2.48 theta=0.096 vmax=3.8e5 level=3)
  12198. cgs 1 3 200p
  12199. rd d 4 .12
  12200. dds 3 4 dds
  12201. .model dds d(bv=25 m=0.36 cjo=23p vj=0.8)
  12202. dbody 3 d dbody
  12203. .model dbody d(is=1.4e-13 n=1 rs=40m tt=100n)
  12204. ra 4 2 .12
  12205. rs 3 5 10m
  12206. ls 5 s .7n
  12207. m2 1 8 6 6 inter
  12208. *e2 8 6 4 1 2
  12209. be2 8 6 v=v(4,1)+v(4,1)
  12210. .model inter nmos(vto=0 kp=10 level=1)
  12211. cgdmax 7 4 140p
  12212. rcgd 7 4 10meg
  12213. dgd 6 4 dgd
  12214. rdgd 4 6 10meg
  12215. .model dgd d(m=0.65 cjo=140p vj=0.35)
  12216. m3 7 9 1 1 inter
  12217. **e3 9 1 4 1 -2
  12218. be3 1 9 v=v(8,6)
  12219. .ends fdv303n
  12220.  
  12221.  
  12222. .subckt fdv302p 20 10 30
  12223. *20=drain 10=gate 30=source
  12224. q 20 30 10 za
  12225. .model za pnp bf=0.05
  12226. Rg 10 1 1
  12227. M1 2 1 3 3 DMOS L=1u W=1u
  12228. .MODEL DMOS PMOS (VTO=-1 KP=0.1257 THETA=0.096 VMAX=3.8E5 LEVEL=3)
  12229. Cgs 1 3 28p
  12230. Rd 20 4 2.2
  12231. *TC=0.004
  12232. Dds 4 3 DDS
  12233. .MODEL DDS D(BV=25 M=0.35 CJO=100p VJ=1.0)
  12234. Dbody 20 3 DBODY
  12235. .MODEL DBODY D(IS=1p N=1 RS=12.7m TT=25n)
  12236. Ra 4 2 2.2
  12237. *TC=0.004
  12238. Rs 3 5 10m
  12239. Ls 5 30 0.5n
  12240. M2 1 8 6 6 INTER
  12241. E2 8 6 4 1 2
  12242. .MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
  12243. Cgdmax 7 4 20p
  12244. Rcgd 7 4 10meg
  12245. Dgd 4 6 DGD
  12246. Rdgd 4 6 10meg
  12247. .MODEL DGD D(M=0.5 CJO=20p VJ=0.2)
  12248. M3 7 9 1 1 INTER
  12249. E3 9 1 4 1 -2
  12250. .ends fdv302p
  12251.  
  12252. .subckt fdv301n d g s
  12253. * sot-23 single n-ch dmos
  12254. *q d s g za
  12255. *.model za npn bf=0.05
  12256. rg g 1 1
  12257. m1 2 1 3 3 dmos l=1u w=1u
  12258. .model dmos nmos (vto=0.9 kp=0.3065 theta=0.096 vmax=3.8e5 level=3)
  12259. cgs 1 3 90p
  12260. rd d 4 .7
  12261. dds 3 4 dds
  12262. .model dds d(bv=25 m=0.36 cjo=23p vj=0.8)
  12263. dbody 3 d dbody
  12264. .model dbody d(is=1.4e-13 n=1 rs=40m tt=100n)
  12265. ra 4 2 .7
  12266. rs 3 5 10m
  12267. ls 5 s .7n
  12268. m2 1 8 6 6 inter
  12269. be2 8 6 v=v(4,1)+v(4,1)
  12270. .model inter nmos(vto=0 kp=10 level=1)
  12271. cgdmax 7 4 25p
  12272. rcgd 7 4 10meg
  12273. dgd 6 4 dgd
  12274. rdgd 4 6 10meg
  12275. .model dgd d(m=0.53 cjo=25p vj=0.12)
  12276. m3 7 9 1 1 inter
  12277. be3 1 9 v=v(8,6)
  12278. .ends
  12279.  
  12280. .SUBCKT MTM981400BBF D G S
  12281. M_M1 D G S S M98140
  12282. D_D1 D S D98140
  12283. X_U1 G S DZ98140
  12284. .MODEL M98140 PMOS
  12285. + LEVEL=3 L=650n W=1.512476
  12286. + KP=12.5u RS=0.0008 VTO=-1.63
  12287. + RD=0.0006 RDS=10.000E12 TOX=13.5n
  12288. + CGSO=850p CGDO=70p CBD=80p
  12289. + MJ=0.8 PB=0.7205 RG=13 IS=1.6E-18
  12290. + N=1.5 RB=0.05 GAMMA=0.028 PHI=0.8
  12291. + ETA=0.50000E-4 THETA=0.38
  12292. + KAPPA=0.001 XJ=1.1u
  12293. + NSUB=1.502E16 UO=600 NFS=1.4E12
  12294. + XQC=1 VMAX=0 MJSW=0.063 TPG=1 RSH=0
  12295. .MODEL D98140 D
  12296. + IS=7.12E-13 N=1 RS=6.904m IKF=0.52
  12297. + CJO=1400p M=0.38 VJ=0.95
  12298. + ISR=1.00E-13 NR=1.5 BV=150 NR=1.5
  12299. + IBV=100m TT=2.8n EG=1.11
  12300. .subckt DZ98140 1 2
  12301. D2 1 3 DZ
  12302. D1 2 3 DZ
  12303. .model DZ D
  12304. + IS=910.4p N=1.0256 RS=0.14011 IKF=0.19646
  12305. + ISR=33.70249E-10 NR=1.995 CJO=8p M=0.43
  12306. + VJ=0.75 BV=19.96 IBV=5m NBV=10 EG=1.11
  12307. .ENDS DZ98140
  12308. .ENDS MTM981400BBF
  12309.  
  12310.  
  12311. .subckt irll014n 1 2 3
  12312. * model generated on nov 1, 96
  12313. * node 1 -> drain
  12314. * node 2 -> gate
  12315. * node 3 -> source
  12316. m1 9 7 8 8 mm l=100u w=100u
  12317. .model mm nmos level=1 is=1e-32 vto=2.39591 lambda=0.00125542 kp=9.115 cgso=2.07514e-06 cgdo=1.66894e-07
  12318. rs 8 3 0.0658113
  12319. d1 3 1 md
  12320. .model md d is=1.07836e-10 rs=0.0408206 n=1.32008 bv=55 ibv=10 eg=1.2 xti=3.03896 tt=0.0001 cjo=1.64458e-10 vj=1.2287 m=0.499918 fc=0.8
  12321. rds 3 1 2.2e+06
  12322. rd 9 1 0.0436264
  12323. rg 2 7 1.79184
  12324. d2 4 5 md1
  12325. .model md1 d is=1e-32 n=50 cjo=1.88146e-10 vj=0.551243 m=0.691812 fc=1e-08
  12326. d3 0 5 md2
  12327. .model md2 d is=1e-10 n=0.401094 rs=3.00001e-06
  12328. rl 5 10 1
  12329. fi2 7 9 vfi2 -1
  12330. vfi2 4 0 0
  12331. ev16 10 0 9 7 1
  12332. cap 11 10 5.72072e-10
  12333. fi1 7 9 vfi1 -1
  12334. vfi1 11 6 0
  12335. rcap 6 10 1
  12336. d4 0 6 md3
  12337. .model md3 d is=1e-10 n=0.401094
  12338. .ends irll014n
  12339.  
  12340. .subckt irlml2502 1 2 3
  12341. * model generated on dec 10, 03
  12342. * node 1 -> drain
  12343. * node 2 -> gate
  12344. * node 3 -> source
  12345. m1 9 7 8 8 mm l=100u w=100u
  12346. .model mm nmos level=1 is=1e-32 vto=1.1 kp=38.7 cgso=7.028u cgdo=4.97e-07
  12347. rs 8 3 0.021
  12348. d1 3 1 md
  12349. .model md d is=4.51n rs=0.0107 n=1.5 bv=20 ibv=0.00025 eg=1.2 xti=3.12846 tt=1.0e-07 cjo=5.48656e-11
  12350. +vj=4.23887 m=0.3 fc=0.1
  12351. rds 3 1 1e+06
  12352. rd 9 1 0.000303
  12353. rg 2 7 17
  12354. d2 4 5 md1
  12355. .model md1 d is=1e-32 n=50 cjo=2.90249e-10 vj=0.5 m=0.9 fc=1e-08
  12356. d3 0 5 md2
  12357. .model md2 d is=1e-10 n=0.4 rs=3e-06
  12358. rl 5 10 1
  12359. fi2 7 9 vfi2 -1
  12360. vfi2 4 0 0
  12361. ev16 10 0 9 7 1
  12362. cap 11 10 6.78e-10
  12363. fi1 7 9 vfi1 -1
  12364. vfi1 11 6 0
  12365. rcap 6 10 1
  12366. d4 0 6 md3
  12367. .model md3 d is=1e-10 n=0.4
  12368. .ends irlml2502
  12369.  
  12370. .subckt irll110 1 2 3
  12371. * model generated on jul 16, 99
  12372. * node 1 -> drain
  12373. * node 2 -> gate
  12374. * node 3 -> source
  12375. m1 9 7 8 8 mm l=100u w=100u
  12376. .model mm nmos level=1 is=1e-32 vto=2 lambda=2.68m kp=3.173 cgso=2.31u cgdo=53.46n
  12377. rs 8 3 89m
  12378. d1 3 1 md
  12379. .model md d is=5.51p rs=0.4098 n=1.224 bv=100 ibv=250u eg=1 xti=1 tt=100u cjo=325p vj=0.5 m=0.416 fc=0.5
  12380. rds 3 1 1meg
  12381. rd 9 1 0.206
  12382. rg 2 7 31.9
  12383. d2 4 5 md1
  12384. .model md1 d is=1e-32 n=50 cjo=246p vj=0.5 m=0.8939 fc=1e-08
  12385. d3 0 5 md2
  12386. .model md2 d is=1e-10 n=0.4 rs=3u
  12387. rl 5 10 1
  12388. fi2 7 9 vfi2 -1
  12389. vfi2 4 0 0
  12390. ev16 10 0 9 7 1
  12391. cap 11 10 581p
  12392. fi1 7 9 vfi1 -1
  12393. vfi1 11 6 0
  12394. rcap 6 10 1
  12395. d4 0 6 md3
  12396. .model md3 d is=1e-10 n=0.4
  12397. .ends irll110
  12398.  
  12399. .subckt irf7311 1 2 3
  12400. * model generated on sep 25, 01
  12401. * node 1 -> drain
  12402. * node 2 -> gate
  12403. * node 3 -> source
  12404. m1 9 7 8 8 mm l=100u w=100u
  12405. .model mm nmos level=1 is=1e-32 vto=1.12746 lambda=0.00524158 kp=32.9399 cgso=7.23513e-06 cgdo=7.80988e-07
  12406. rs 8 3 0.00167727
  12407. d1 3 1 md
  12408. .model md d is=3.89457e-08 rs=0.0269632 n=1.5 bv=20 ibv=0.00025 eg=1 xti=1 tt=0.0001 cjo=8.12775e-10
  12409. + vj=0.61964 m=0.402436 fc=0.5
  12410. rds 3 1 1e+06
  12411. rd 9 1 0.007608
  12412. rg 2 7 2.10927
  12413. d2 4 5 md1
  12414. .model md1 d is=1e-32 n=50 cjo=1.31198e-09 vj=0.5 m=0.684145 fc=1e-08
  12415. d3 0 5 md2
  12416. .model md2 d is=1e-10 n=0.4 rs=3e-06
  12417. rl 5 10 1
  12418. fi2 7 9 vfi2 -1
  12419. vfi2 4 0 0
  12420. ev16 10 0 9 7 1
  12421. cap 11 10 2.35002e-09
  12422. fi1 7 9 vfi1 -1
  12423. vfi1 11 6 0
  12424. rcap 6 10 1
  12425. d4 0 6 md3
  12426. .model md3 d is=1e-10 n=0.4
  12427. .ends irf7311
  12428.  
  12429. .SUBCKT irf7494 1 2 3
  12430. * Node 1 -> Drain
  12431. * Node 2 -> Gate
  12432. * Node 3 -> Source
  12433. M1 9 7 8 8 MM L=100u W=100u
  12434. .MODEL MM NMOS LEVEL=1 IS=1e-32
  12435. +VTO=4.44705 LAMBDA=0.0123785 KP=30.8113
  12436. +CGSO=1.63237e-05 CGDO=1.96819e-07
  12437. RS 8 3 0.00696318
  12438. D1 3 1 MD
  12439. .MODEL MD D IS=1.15692e-09 RS=0.00305219 N=1.30506 BV=150
  12440. +IBV=0.00025 EG=1.2 XTI=3.19861 TT=1e-07
  12441. +CJO=8.58715e-10 VJ=0.5 M=0.497271 FC=0.5
  12442. RDS 3 1 1e+06
  12443. RD 9 1 0.0233744
  12444. RG 2 7 2.66918
  12445. D2 4 5 MD1
  12446. .MODEL MD1 D IS=1e-32 N=50
  12447. +CJO=5.56991e-10 VJ=0.5 M=0.493853 FC=1e-08
  12448. D3 0 5 MD2
  12449. .MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06
  12450. RL 5 10 1
  12451. FI2 7 9 VFI2 -1
  12452. VFI2 4 0 0
  12453. EV16 10 0 9 7 1
  12454. CAP 11 10 1.19093e-09
  12455. FI1 7 9 VFI1 -1
  12456. VFI1 11 6 0
  12457. RCAP 6 10 1
  12458. D4 0 6 MD3
  12459. .MODEL MD3 D IS=1e-10 N=0.4
  12460. .ENDS irf7494
  12461.  
  12462. .SUBCKT irf7495 1 2 3
  12463. * Node 1 -> Drain
  12464. * Node 2 -> Gate
  12465. * Node 3 -> Source
  12466. M1 9 7 8 8 MM L=100u W=100u
  12467. .MODEL MM NMOS LEVEL=1 IS=1e-32
  12468. +VTO=3.39332 LAMBDA=0.0590546 KP=95.0088
  12469. +CGSO=1.47613e-05 CGDO=4.4148e-07
  12470. RS 8 3 0.014949
  12471. D1 3 1 MD
  12472. .MODEL MD D IS=5.31478e-09 RS=0.00194152 N=1.39854 BV=100
  12473. +IBV=0.00025 EG=1 XTI=1 TT=1e-07
  12474. +CJO=1.08687e-09 VJ=0.507373 M=0.512248 FC=0.5
  12475. RDS 3 1 1e+06
  12476. RD 9 1 0.0001
  12477. RG 2 7 1.59365
  12478. D2 4 5 MD1
  12479. .MODEL MD1 D IS=1e-32 N=50
  12480. +CJO=6.00017e-10 VJ=0.5 M=0.585457 FC=1e-08
  12481. D3 0 5 MD2
  12482. .MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06
  12483. RL 5 10 1
  12484. FI2 7 9 VFI2 -1
  12485. VFI2 4 0 0
  12486. EV16 10 0 9 7 1
  12487. CAP 11 10 1.35073e-09
  12488. FI1 7 9 VFI1 -1
  12489. VFI1 11 6 0
  12490. RCAP 6 10 1
  12491. D4 0 6 MD3
  12492. .MODEL MD3 D IS=1e-10 N=0.4
  12493. .ENDS irf7495
  12494.  
  12495.  
  12496. .subckt fdc604p d g s
  12497. rg g 1 1
  12498. m1 2 1 3 3 dmos l=1u w=1u
  12499. .model dmos pmos(vto=-0.75 kp=34 theta=0.25 vmax=7e5 level=3)
  12500. cgs 1 3 1800p
  12501. rd d 4 4.27e-3
  12502. dds 4 3 dds
  12503. .model dds d(bv=20 m=0.9 vj=20.9 cjo=500p)
  12504. dbody d 3 dbody
  12505. .model dbody d(is=1.19e-9 n=1.154752 rs=0.00324 tt=25n)
  12506. ra 4 2 4.27e-3
  12507. rs 3 5 0.5m
  12508. ls 5 s 0.5n
  12509. m2 1 8 6 6 inter
  12510. *e2 8 6 4 1 2
  12511. be2 8 6 v=v(4,1)+v(4,1)
  12512. .model inter pmos(vto=0 kp=10 level=1)
  12513. cgdmax 7 4 1890p
  12514. rcgd 7 4 10meg
  12515. dgd 4 6 dgd
  12516. rdgd 4 6 10meg
  12517. .model dgd d(m=3.52e-1 vj=1.35e-2 cjo=1890p)
  12518. m3 7 9 1 1 inter
  12519. *e3 9 1 4 1 -2
  12520. be3 9 1 v=-v(8,6)
  12521. .ends fdc604p
  12522.  
  12523. .SUBCKT FDC645N 20 10 30
  12524. *20=DRAIN 10=GATE 30=SOURCE 50=VTEMP
  12525. Vtemp 50 0 {temp}
  12526. Rg 10 11x 1
  12527. Rdu 12x 1 1u
  12528. M1 2 1 4x 4x DMOS L=1u W=1u
  12529. .MODEL DMOS NMOS(VTO=1.85 KP=7.93E+1
  12530. +THETA=.1333333 VMAX=2E5 LEVEL=3)
  12531. Cgs 1 5x 1500p
  12532. Rd 20 4 9E-3
  12533. Dds 5x 4 DDS
  12534. .MODEL DDS D(M=5.34E-1 VJ=2.57E+2 CJO=139p)
  12535. Dbody 5x 20 DBODY
  12536. .MODEL DBODY D(IS=2.97p N=1.033715 RS=.000436 TT=8.44n)
  12537. Ra 4 2 9E-3
  12538. Rs 5x 5 0.5m
  12539. Ls 5 30 0.5n
  12540. M2 1 8 6 6 INTER
  12541. E2 8 6 4 1 2
  12542. .MODEL INTER NMOS(VTO=0 KP=10 LEVEL=1)
  12543. Cgdmax 7 4 850p
  12544. Rcgd 7 4 10meg
  12545. Dgd 6 4 DGD
  12546. Rdgd 6 4 10meg
  12547. .MODEL DGD D(M=2.68E-1 VJ=4.18E-3 CJO=850p)
  12548. M3 7 9 1 1 INTER
  12549. E3 9 1 4 1 -2
  12550. *ZX SECTION
  12551. EOUT 4x 6x poly(2) (1x,0) (3x,0) 0 0 0 0 1
  12552. FCOPY 0 3x VSENSE 1
  12553. RIN 1x 0 1G
  12554. VSENSE 6x 5x 0
  12555. RREF 3x 0 10m
  12556. *TEMP SECTION
  12557. ED 101 0 VALUE {V(50,100)}
  12558. VAMB 100 0 25
  12559. EKP 1x 0 101 0 .009
  12560. *VTO TEMP SECTION
  12561. EVTO 102 0 101 0 .0012
  12562. EVT 12x 11x 102 0 1
  12563. *DIODE THEMO BREAKDOWN SECTION
  12564. EBL VB1 VB2 101 0 .08
  12565. VBLK VB2 0 30
  12566. D 20 DB1 DBLK
  12567. .MODEL DBLK D(IS=1E-14 CJO=.1p RS=.1)
  12568. EDB DB1 0 VB1 0 1
  12569. .ENDS FDC645N
  12570.  
  12571.  
  12572. * sot-23 p-ch dmos
  12573. .subckt nds336p d g s
  12574. rg g 1 1
  12575. m1 2 1 3 3 dmos l=1u w=1u
  12576. .model dmos pmos (vto=-0.9 kp=4.7 theta=0.096 vmax=4e5 level=3)
  12577. cgs 1 3 450p
  12578. rd d 4 20m tc=0.0044
  12579. dds 4 3 dds
  12580. .model dds d(bv=20 m=0.3 cjo=450p vj=0.8)
  12581. dbody d 3 dbody
  12582. .model dbody d(is=1.4e-13 n=1 rs=40m tt=100n)
  12583. ra 4 2 100m tc=0.0044
  12584. rs 3 5 1m
  12585. ls 5 s 0.5n
  12586. m2 1 8 6 6 inter
  12587. e2 8 6 4 1 2
  12588. .model inter pmos(vto=0 kp=10)
  12589. cgdmax 7 4 710p
  12590. rcgd 7 4 10meg
  12591. dgd 4 6 dgd
  12592. rdgd 4 6 10meg
  12593. .model dgd d(m=0.5 cjo=510p vj=0.12)
  12594. m3 7 9 1 1 inter
  12595. e3 9 1 4 1 -2
  12596. .ends nds336p
  12597.  
  12598. .subckt nds335n d g s
  12599. rg g 1 1
  12600. m1 2 1 3 3 dmos l=1u w=1u
  12601. .model dmos nmos vto=0.65 kp=8.16 theta=0.096 vmax=4e5 level=3
  12602. cgs 1 3 250p
  12603. rd d 4 10m
  12604. dds 3 4 dds
  12605. .model dds d bv=20 m=0.3 cjo=250p vj=0.8
  12606. dbody 3 20 dbody
  12607. .model dbody d is=1.4e-13 n=1 rs=40m tt=100n
  12608. ra 4 2 25m
  12609. rs 3 5 1m
  12610. ls 5 s 0.5n
  12611. m2 1 8 6 6 inter
  12612. e2 8 6 4 1 2
  12613. .model inter nmos vto=0 kp=10 level=1
  12614. cgdmax 7 4 980p
  12615. rcgd 7 4 10meg
  12616. dgd 6 4 dgd
  12617. rdgd 4 6 10meg
  12618. .model dgd d m=0.5 cjo=450p vj=0.15
  12619. m3 7 9 1 1 inter
  12620. e3 9 1 4 1 -2
  12621. .ends nds335n
  12622.  
  12623. *FDN327N at Temp. Electrical Model
  12624. *-------------------------------------
  12625. .SUBCKT FDN327N 20 10 30
  12626. *20=DRAIN 10=GATE 30=SOURCE 50=VTEMP
  12627. Vtemp 50 0 25
  12628. Rg 10 11x 1
  12629. Rdu 12x 1 1u
  12630. M1 2 1 4x 4x DMOS L=1u W=1u
  12631. .MODEL DMOS NMOS(VTO=0.7 KP=1.91E+1
  12632. +THETA=.2 VMAX=3E5 LEVEL=3)
  12633. Cgs 1 5x 550p
  12634. Rd 20 4 8E-3
  12635. Dds 5x 4 DDS
  12636. .MODEL DDS D(M=3.83E-1 VJ=4.83E-1 CJO=126p)
  12637. Dbody 5x 20 DBODY
  12638. .MODEL DBODY D(IS=3.62E-10 N=1.158188 RS=0.001085 TT=7.02n)
  12639. Ra 4 2 8E-3
  12640. Rs 5x 5 0.5m
  12641. Ls 5 30 0.5n
  12642. M2 1 8 6 6 INTER
  12643. E2 8 6 4 1 2
  12644. .MODEL INTER NMOS(VTO=0 KP=10 LEVEL=1)
  12645. Cgdmax 7 4 400p
  12646. Rcgd 7 4 10meg
  12647. Dgd 6 4 DGD
  12648. Rdgd 6 4 10meg
  12649. .MODEL DGD D(M=4.5E-1 VJ=4.73E-2 CJO=400p)
  12650. M3 7 9 1 1 INTER
  12651. E3 9 1 4 1 -2
  12652. *ZX SECTION
  12653. EOUT 4x 6x poly(2) (1x,0) (3x,0) 0 0 0 0 1
  12654. FCOPY 0 3x VSENSE 1
  12655. RIN 1x 0 1G
  12656. VSENSE 6x 5x 0
  12657. RREF 3x 0 10m
  12658. *TEMP SECTION
  12659. ED 101 0 VALUE {V(50,100)}
  12660. VAMB 100 0 25
  12661. EKP 1x 0 101 0 .015
  12662. *VTO TEMP SECTION
  12663. EVTO 102 0 101 0 .0007
  12664. EVT 12x 11x 102 0 1
  12665. *DIODE THEMO BREAKDOWN SECTION
  12666. EBL VB1 VB2 101 0 .08
  12667. VBLK VB2 0 20
  12668. D 20 DB1 DBLK
  12669. .MODEL DBLK D(IS=1E-14 CJO=.1p RS=.1)
  12670. EDB DB1 0 VB1 0 1
  12671. .ENDS FDN327N
  12672. *FDN327N (Rev.A) 6/10/02 **ST
  12673.  
  12674.  
  12675. .subckt nds332p 20 10 30
  12676. *supersot-3 sot-23 p-ch dmos
  12677. rg 10 1 1
  12678. m1 2 1 3 3 dmos l=1u w=1u
  12679. .model dmos pmos (vto=-0.65 kp=3.1 theta=0.096 vmax=4e5 level=3)
  12680. cgs 1 3 170p
  12681. rd 20 4 30m tc=0.00416
  12682. dds 4 3 dds
  12683. .model dds d(bv=20 m=0.3 cjo=200p vj=0.8)
  12684. dbody 20 3 dbody
  12685. .model dbody d(is=1.4e-13 n=1 rs=40m tt=100n)
  12686. ra 4 2 160m
  12687. rs 3 5 1m
  12688. ls 5 30 0.5n
  12689. m2 1 8 6 6 inter
  12690. e2 8 6 4 1 2
  12691. .model inter pmos(vto=0 kp=10 level=1)
  12692. cgdmax 7 4 610p
  12693. rcgd 7 4 10meg
  12694. dgd 4 6 dgd
  12695. rdgd 4 6 10meg
  12696. .model dgd d(m=0.5 cjo=290p vj=0.12)
  12697. m3 7 9 1 1 inter
  12698. e3 9 1 4 1 -2
  12699. .ends nds332p
  12700.  
  12701. .subckt fdn335n d g s
  12702. rg g 1 1
  12703. m1 2 1 3 3 dmos l=1u w=1u
  12704. .model dmos nmos (vto=0.9 kp=8.46 theta=0.096 vmax=4e5 level=3)
  12705. cgs 1 3 350p
  12706. rd d 4 16m
  12707. dds 3 4 dds
  12708. .model dds d(bv=20 m=0.3 cjo=250p vj=0.8)
  12709. dbody 3 d dbody
  12710. .model dbody d(is=1.4e-13 n=1 rs=40m tt=100n)
  12711. ra 4 2 16m
  12712. rs 3 5 1m
  12713. ls 5 s 0.5n
  12714. m2 1 8 6 6 inter
  12715. e2 8 6 4 1 2
  12716. .model inter nmos(vto=0 kp=10 level=1)
  12717. cgdmax 7 4 160p
  12718. rcgd 7 4 10meg
  12719. dgd 6 4 dgd
  12720. rdgd 4 6 10meg
  12721. .model dgd d(m=0.6 cjo=160p vj=0.4)
  12722. m3 7 9 1 1 inter
  12723. e3 9 1 4 1 -2
  12724. .ends fdn335n
  12725.  
  12726. * NDS0605 ELECTRICAL MODEL (SOT-23 Single P-Ch DMOS)
  12727. * ------------------------
  12728. .SUBCKT NDS0605 20 10 30 TEMP=25
  12729. Rg 10 1 1
  12730. M1 2 1 3 3 DMOS L=1u W=1u
  12731. .MODEL DMOS PMOS (VTO={-1.9*{-0.0012*TEMP+1.03}} KP={-0.0006*TEMP+0.315}
  12732. + THETA=0.086 VMAX=3.8E5 LEVEL=3)
  12733. Cgs 1 3 40p
  12734. Rd 20 4 1.4 TC=0.0064
  12735. Dds 4 3 DDS
  12736. .MODEL DDS D(BV={60*{0.00096*TEMP+0.976}} M=0.35 CJO=100p VJ=1.0)
  12737. Dbody 20 3 DBODY
  12738. .MODEL DBODY D(IS=1p N=1 RS=12.7m TT=25n)
  12739. Ra 4 2 1.2 TC=0.0064
  12740. Rs 3 5 10m
  12741. Ls 5 30 0.5n
  12742. M2 1 8 6 6 INTER
  12743. E2 8 6 4 1 2
  12744. .MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
  12745. Cgdmax 7 4 85p
  12746. Rcgd 7 4 10meg
  12747. Dgd 4 6 DGD
  12748. Rdgd 4 6 10meg
  12749. .MODEL DGD D(M=0.55 CJO=85p VJ=0.120)
  12750. M3 7 9 1 1 INTER
  12751. E3 9 1 4 1 -2
  12752. .ENDS
  12753.  
  12754. .subckt FDC6330Ltemp 1 2 3 4 5 6 tem=25
  12755. * sn dp dp sp gn gpdn
  12756. *FDC6330L at Temp. Electrical Model
  12757. vzak 2 3 0
  12758. vtemp 50 0 {tem}
  12759. x1 6 5 1 50 q1
  12760. x2 2 6 4 50 q2
  12761. .ends FDC6330Ltemp
  12762. *
  12763. .SUBCKT Q1 20 10 30 50
  12764. *20=DRAIN 10=GATE 30=SOURCE 50=VTEMP
  12765. Rg 10 11x 1
  12766. Rdu 12x 1 1u
  12767. M1 2 1 4x 4x DMOS L=1u W=1u
  12768. .MODEL DMOS NMOS(VTO=0.9 KP=.45 THETA=.25 VMAX=3E5 LEVEL=3)
  12769. Cgs 1 5x 10p
  12770. Rd 20 4 8.3E-1
  12771. Dds 5x 4 DDS
  12772. .MODEL DDS D( M=3.61E-1 VJ=8.34E-2 CJO=12.1p)
  12773. Dbody 5x 20 DBODY
  12774. .MODEL DBODY D(IS=7.78E-9 N=1.946 RS=.0232 TT=16n)
  12775. Ra 4 2 8.3E-1
  12776. Rs 5x 5 0.5m
  12777. Ls 5 30 0.5n
  12778. M2 1 8 6 6 INTER
  12779. be2 8 6 v=v(6,4)+v(6,4)
  12780. .MODEL INTER NMOS(VTO=0 KP=10 LEVEL=1)
  12781. Cgdmax 7 4 54p
  12782. Rcgd 7 4 10meg
  12783. Dgd 6 4 DGD
  12784. Rdgd 6 4 10meg
  12785. .MODEL DGD D(M=2.71E-1 VJ=1.44E-5 CJO=54p)
  12786. M3 7 9 1 1 INTER
  12787. be3 9 1 v=v(6,8)
  12788. *ZX SECTION
  12789. Beout 4x 6x v=v(1x)*v(3x)
  12790. *FCOPY 0 3x VSENSE 1
  12791. bFCOPY 0 3x i=i(VSENSE)
  12792. RIN 1x 0 1G
  12793. VSENSE 6x 5x 0
  12794. RREF 3x 0 10m
  12795. *TEMP SECTION
  12796. bed 101 0 v=v(50)-25
  12797. bekp 1x 0 v=v(101)*1.2
  12798. *VTO TEMP SECTION
  12799. bEVT 12x 11x v=v(101)*0.0005
  12800. ***DIODE THEMO BREAKDOWN SECTION
  12801. D 20 DB1 DBLK
  12802. .MODEL DBLK D(IS=1E-14 CJO=.1p RS=.1)
  12803. bedb db1 0 v=v(101)*0.08+20
  12804. .ENDS Q1
  12805. *
  12806. .SUBCKT Q2 20 10 30 50
  12807. *20=DRAIN 10=GATE 30=SOURCE 50=VTEMP
  12808. Rg 10 11x 1
  12809. Rdu 12x 1 1u
  12810. M1 2 1 4x 4x DMOS L=1u W=1u
  12811. .MODEL DMOS PMOS(VTO=-1.85 KP=5.52 THETA=0.1 VMAX=9.5E5 LEVEL=3)
  12812. Cgs 1 5x 400p
  12813. Rd 20 4 7.3m
  12814. Dds 4 5x DDS
  12815. .MODEL DDS D(M=4.08E-1 VJ=8.54E-1 CJO=268p)
  12816. Dbody 20 5x DBODY
  12817. .MODEL DBODY D(IS=1.46E-17 N=0.737 RS=0.89m TT=18.7n)
  12818. Ra 4 2 7m
  12819. Rs 5x 5 0.5m
  12820. Ls 5 30 0.5n
  12821. M2 1 8 6 6 INTER
  12822. be2 8 6 v=v(4,1)+v(4,1)
  12823. .MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
  12824. Cgdmax 7 4 350p
  12825. Rcgd 7 4 10meg
  12826. Dgd 4 6 DGD
  12827. Rdgd 4 6 10meg
  12828. .MODEL DGD D(M=6.8E-1 VJ=8.54E-1 CJO=350p)
  12829. M3 7 9 1 1 INTER
  12830. Be3 9 1 v=v(6,8)
  12831. *ZX SECTION
  12832. beout 4x 6x v=v(1x)*v(3x)
  12833. bfcopy 0 3x i=i(vsense)
  12834. RIN 1x 0 1G
  12835. VSENSE 6x 5x 0
  12836. RREF 3x 0 10m
  12837. *TEMP SECTION
  12838. bed 101 0 v=v(50)-25
  12839. bekp 1x 0 v=v(101)*0.025
  12840. *VTO SECTION
  12841. bevt 11x 12x v=v(101)*0.012
  12842. *DIODE THERMO BREAKDOWN SECTION
  12843. bebl vb1 0 v=v(101)*0.8+30
  12844. D DB1 20 DBLK
  12845. .MODEL DBLK D(IS=1E-14 CJO=.1p RS=.1)
  12846. bedb 0 db1 v=v(vb1)
  12847. .ENDS
  12848.  
  12849. .SUBCKT FDW252P 20 10 30 tem=25
  12850. *20=DRAIN 10=GATE 30=SOURCE 50=VTEMP
  12851. v 50 0 {tem}
  12852. Rg 10 11x 1
  12853. Rdu 12x 1 1u
  12854. M1 2 1 4x 4x DMOS L=1u W=1u
  12855. .MODEL DMOS PMOS(VTO=-0.8 KP=6.65E+1
  12856. +THETA=0.166667 VMAX=9.5E5 LEVEL=3)
  12857. Cgs 1 5x 4431p
  12858. Rd 20 4 1.7E-3
  12859. Dds 4 5x DDS
  12860. .MODEL DDS D(M=3.97E-1 VJ=3.28E-1 CJO=1843p)
  12861. Dbody 20 5x DBODY
  12862. .MODEL DBODY D(IS=8.2E-9 N=1.18539 RS=2.7E-4 TT=20.62n)
  12863. Ra 4 2 1.7E-3
  12864. Rs 5x 5 0.5m
  12865. Ls 5 30 0.5n
  12866. M2 1 8 6 6 INTER
  12867. E2 8 6 4 1 2
  12868. .MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
  12869. Cgdmax 7 4 2719p
  12870. Rcgd 7 4 10meg
  12871. Dgd 4 6 DGD
  12872. Rdgd 4 6 10meg
  12873. .MODEL DGD D(M=3.59E-1 VJ=1.27E-1 CJO=2719p)
  12874. M3 7 9 1 1 INTER
  12875. E3 9 1 4 1 -2
  12876. *ZX SECTION
  12877. EOUT 4x 6x poly(2) (1x,0) (3x,0) 0 0 0 0 1
  12878. FCOPY 0 3x VSENSE 1
  12879. RIN 1x 0 1G
  12880. VSENSE 6x 5x 0
  12881. RREF 3x 0 10m
  12882. *TEMP SECTION
  12883. ED 101 0 VALUE {V(50,100)}
  12884. VAMB 100 0 25
  12885. EKP 1x 0 101 0 .0025
  12886. *VTO SECTION
  12887. EVTO 102 0 101 0 .0008
  12888. EVT 11x 12x 102 0 1
  12889. *DIODE THERMO BREAKDOWN SECTION
  12890. EBL VB1 VB2 101 0 0.8
  12891. VBLK VB2 0 20
  12892. D DB1 20 DBLK
  12893. .MODEL DBLK D(IS=1E-14 CJO=.1p RS=.1)
  12894. EDB 0 DB1 VB1 0 1
  12895. .ENDS FDW252P
  12896.  
  12897. *n-MOSFET*200V 33A 70mOhm
  12898. .SUBCKT BUZ341 1 2 3
  12899. LS 5 2 7N
  12900. LD 95 3 5N
  12901. RG 4 11 5.5M
  12902. RS 5 76 14M
  12903. D341 76 95 DREV
  12904. .MODEL DREV D CJO=0.9N RS=20M TT=30N IS=300P BV=200
  12905. M341 86 11 76 76 MBUZ
  12906. .MODEL MBUZ NMOS VTO=3.248 KP=26.86
  12907. M2 11 86 8 8 MSW
  12908. .MODEL MSW NMOS VTO=0.001 KP=5
  12909. M3 86 11 8 8 MSW
  12910. COX 11 8 2.7N
  12911. DGD 8 86 DCGD
  12912. .MODEL DCGD D CJO=1.547N M=0.522 VJ=0.977
  12913. CGS 76 11 2.5N
  12914. MRDR 86 86 95 86 MVRD
  12915. .MODEL MVRD NMOS VTO=-10.85 KP=2.5
  12916. LG 4 1 7N
  12917. .ENDS
  12918.  
  12919. * NDT456P ELECTRICAL MODEL (SOT-223 P-Ch DMOS)
  12920. * -----------------------------------------------
  12921. .SUBCKT NDT456P 20 10 30
  12922. Rg 10 1 3
  12923. M1 2 1 3 3 DMOS L=1u W=1u
  12924. .MODEL DMOS PMOS (VTO={-2.0*{-0.0024*TEMP+1.06}} KP={-0.02*TEMP+19.5}
  12925. + THETA=0.086 VMAX=5E5 TOX=4.25E-8 LEVEL=3)
  12926. Cgs 1 3 1000p
  12927. Rd 20 4 10m TC=0.00376
  12928. Dds 4 3 DDS
  12929. .MODEL DDS D(BV={30*{0.00064*TEMP+0.984}} M=0.25 CJO=500p VJ=0.51)
  12930. Dbody 20 3 DBODY
  12931. .MODEL DBODY D(IS=1.4E-13 N=1 RS=90m TT=29n)
  12932. Ra 4 2 8m TC=0.00376
  12933. Rs 3 5 2m
  12934. Ls 5 30 0.9n
  12935. M2 1 8 6 6 INTER
  12936. E2 8 6 4 1 2
  12937. .MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
  12938. Cgdmax 7 4 2900p
  12939. Rcgd 7 4 10meg
  12940. Dgd 4 6 DGD
  12941. Rdgd 4 6 10meg
  12942. .MODEL DGD D(M=0.31 CJO=2200p VJ=0.18)
  12943. M3 7 9 1 1 INTER
  12944. E3 9 1 4 1 -2
  12945. .ENDS
  12946.  
  12947. .SUBCKT irlb3036pbf 1 2 3
  12948. * Model generated on Jun 2, 09
  12949. * MODEL FORMAT: SPICE3
  12950. * Symmetry POWER MOS Model (Version 1.0)
  12951. * External Node Designations
  12952. * Node 1 -> Drain
  12953. * Node 2 -> Gate
  12954. * Node 3 -> Source
  12955. M1 9 7 8 8 MM L=100u W=100u
  12956. .MODEL MM NMOS LEVEL=1 IS=1e-32
  12957. +VTO=2.63909 LAMBDA=0 KP=550.588
  12958. +CGSO=0.000113954 CGDO=3.02463e-07
  12959. RS 8 3 0.00113151
  12960. D1 3 1 MD
  12961. .MODEL MD D IS=1.23078e-09 RS=0.00114523 N=1.21311 BV=60
  12962. +IBV=0.00025 EG=1 XTI=2.4188 TT=1e-07
  12963. +CJO=4.62528e-09 VJ=1.408 M=0.590883 FC=0.5
  12964. RDS 3 1 1e+07
  12965. RD 9 1 0.0001
  12966. RG 2 7 6
  12967. D2 4 5 MD1
  12968. .MODEL MD1 D IS=1e-32 N=50
  12969. +CJO=2.30225e-09 VJ=0.5 M=0.365607 FC=1e-08
  12970. D3 0 5 MD2
  12971. .MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06
  12972. RL 5 10 1
  12973. FI2 7 9 VFI2 -1
  12974. VFI2 4 0 0
  12975. EV16 10 0 9 7 1
  12976. CAP 11 10 3.95516e-09
  12977. FI1 7 9 VFI1 -1
  12978. VFI1 11 6 0
  12979. RCAP 6 10 1
  12980. D4 0 6 MD3
  12981. .MODEL MD3 D IS=1e-10 N=0.4
  12982. .ENDS irlb3036pbf
  12983.  
  12984. .SUBCKT BS250P 3 4 5
  12985. * D G S
  12986. M1 3 2 5 5 MBS250
  12987. RG 4 2 160
  12988. RL 3 5 1.2E8
  12989. C1 2 5 47p
  12990. C2 3 2 10p
  12991. D1 3 5 DBS250
  12992. *
  12993. .MODEL MBS250 PMOS VTO=-3.193 RS=2.041 RD=0.697 IS=1f KP=0.277
  12994. +CBD=105p PB=1 LAMBDA=1.2E-2
  12995. .MODEL DBS250 D IS=2E-13 RS=0.309
  12996. .ENDS BS250P
  12997.  
  12998. .SUBCKT ZXMP3A13F 30 40 50
  12999. * D G S
  13000. M1 6 2 5 5 Pmod L=1.2E-6 W=0.33
  13001. M2 5 2 5 6 Nmod L=1.4E-6 W=0.19
  13002. RG 4 2 10
  13003. RIN 2 5 1E9
  13004. RD 3 6 Rdmod 0.05
  13005. RL 3 5 3E9
  13006. C1 2 5 8.5p
  13007. C2 3 4 5p
  13008. D1 3 5 Dbodymod
  13009. LD 3 30 0.5E-9
  13010. LG 4 40 1.0E-9
  13011. LS 5 50 1.0E-9
  13012. .MODEL Pmod PMOS (LEVEL=3 TOX=5.5E-8 NSUB=1E17
  13013. +VTO=-1.9 KP=2E-5 RS=.05 NFS=2E11 KAPPA=0.06 UO=650 IS=1f N=10)
  13014. .MODEL Nmod NMOS (LEVEL=3 TOX=5.5E-8 NSUB=2E16
  13015. +TPG=-1 IS=1f N=10)
  13016. .MODEL Dbodymod D (IS=6E-13 RS=.025 IKF=0.1 TRS1=1.5e-3
  13017. +CJO=65p BV=33)
  13018. .MODEL Rdmod RES (TC1=5.5e-3 TC2=1.5E-5)
  13019. .ENDS ZXMP3A13F
  13020.  
  13021. .SUBCKT ZXMN2A02N8 30 40 50
  13022. *---connections---D-G-S
  13023. M1 6 2 7 7 Nmod L=1.16E-6 W=2.3
  13024. M2 7 2 7 6 Pmod L=1.3E-6 W=1.3
  13025. RG 4 2 1
  13026. RIN 2 5 1E12
  13027. RD 3 6 Rdmod 0.0045
  13028. RS 7 5 Rdmod 0.01
  13029. RL 3 5 3E9
  13030. C1 2 5 10p
  13031. C2 3 2 5p
  13032. D1 5 3 Dbodymod
  13033. LD 3 30 1.3E-9
  13034. LG 4 40 1.2E-9
  13035. LS 5 50 1.2E-9
  13036. .MODEL Nmod NMOS (LEVEL=3 TOX=4.5E-8 NSUB=10E16
  13037. +VTO=1.365 KP=3.6E-5 NFS=2E11 KAPPA=0.1 UO=650 IS=1f N=10)
  13038. .MODEL Pmod PMOS (LEVEL=3 TOX=4.5E-8 NSUB=1.5E16
  13039. +TPG=-1 IS=1f N=10)
  13040. .MODEL Dbodymod D (IS=2E-11 RS=.015 XTI=1.5 TRS1=1.5e-3 TT=7e-9
  13041. +CJO=450p BV=22)
  13042. .MODEL Rdmod RES (TC1=3e-3 TC2=6E-6)
  13043. .ENDS
  13044.  
  13045. *60V 18A .14 ohms HEXFET pkg:TO-220 2,1,3
  13046. .SUBCKT IRF9Z34 10 20 40
  13047. M1 1 2 3 3 DMOS L=1U W=1U
  13048. RD 10 1 65.5M
  13049. RS 30 3 4.5M
  13050. RG 20 2 24.5
  13051. CGS 2 3 1N
  13052. EGD 12 0 1 2 1
  13053. VFB 14 0 0
  13054. FFB 1 2 VFB 1
  13055. CGD 13 14 1.28N
  13056. R1 13 0 1
  13057. D1 12 13 DLIM
  13058. DDG 15 14 DCGD
  13059. R2 12 15 1
  13060. D2 15 0 DLIM
  13061. DSD 10 3 DSUB
  13062. LS 30 40 7.5N
  13063. .MODEL DMOS PMOS (LEVEL=3 THETA=60M VMAX=125K ETA=2M VTO=-3 KP=4.27)
  13064. .MODEL DCGD D (CJO=1.28N VJ=.6 M=.68)
  13065. .MODEL DSUB D (IS=74.7N N=1.5 RS=.308 BV=60 CJO=2.23N VJ=.8 M=.42 TT=100N)
  13066. .MODEL DLIM D (IS=100U)
  13067. .ENDS IRF9Z34
  13068.  
  13069. .SUBCKT irf9z34n 1 2 3
  13070. * Node 1 -> Drain
  13071. * Node 2 -> Gate
  13072. * Node 3 -> Source
  13073. M1 9 7 8 8 MM L=100u W=100u
  13074. .MODEL MM PMOS LEVEL=1 IS=1e-32
  13075. +VTO=-3.18176 LAMBDA=0 KP=2.52466
  13076. +CGSO=4.9266e-06 CGDO=1e-11
  13077. RS 8 3 0.0001
  13078. D1 1 3 MD
  13079. .MODEL MD D IS=2.51148p RS=0.0124373 N=1.05244 BV=55
  13080. +IBV=0.00025 EG=1 XTI=2.91741 TT=0.0001
  13081. +CJO=4.87958e-10 VJ=5 M=0.731488 FC=0.5
  13082. RDS 3 1 1e+06
  13083. RD 9 1 0.028942
  13084. RG 2 7 6
  13085. D2 5 4 MD1
  13086. .MODEL MD1 D IS=1e-32 N=50
  13087. +CJO=8.50824e-10 VJ=0.5 M=0.456256 FC=1e-08
  13088. D3 5 0 MD2
  13089. .MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06
  13090. RL 5 10 1
  13091. FI2 7 9 VFI2 -1
  13092. VFI2 4 0 0
  13093. EV16 10 0 9 7 1
  13094. CAP 11 10 8.50824e-10
  13095. FI1 7 9 VFI1 -1
  13096. VFI1 11 6 0
  13097. RCAP 6 10 1
  13098. D4 6 0 MD3
  13099. .MODEL MD3 D IS=1e-10 N=0.4
  13100. .ENDS irf9z34n
  13101.  
  13102. * FDR838P (SuperSOT-8 P-Ch DMOS)
  13103. .SUBCKT FDR838P 20 10 30
  13104. Rg 10 1 3
  13105. M1 2 1 3 3 DMOS L=1u W=1u
  13106. .MODEL DMOS PMOS (VTO={-0.9*{-0.00336*TEMP+1.084}} KP={-0.04*TEMP+54}
  13107. + THETA=0.096 VMAX=2.0E5 LEVEL=3)
  13108. Cgs 1 3 2800p
  13109. Rd 20 4 3m TC=0.00416
  13110. Dds 4 3 DDS
  13111. .MODEL DDS D(BV={20*{0.000624*TEMP+0.9844}} M=0.35 CJO=2600p VJ=0.8)
  13112. Dbody 20 3 DBODY
  13113. .MODEL DBODY D(IS=1p N=0.9 RS=12.7m TT=25n)
  13114. Ra 4 2 3m TC=0.00416
  13115. Rs 3 5 1m
  13116. Ls 5 30 0.9n
  13117. M2 1 8 6 6 INTER
  13118. E2 8 6 4 1 2
  13119. .MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
  13120. Cgdmax 7 4 2700p
  13121. Rcgd 7 4 10meg
  13122. Dgd 4 6 DGD
  13123. Rdgd 4 6 10meg
  13124. .MODEL DGD D(M=0.65 CJO=2700p VJ=0.35)
  13125. M3 7 9 1 1 INTER
  13126. E3 9 1 4 1 -2
  13127. .ENDS FDR838P
  13128.  
  13129. .SUBCKT irf7478 1 2 3
  13130. * Node 1 -> Drain
  13131. * Node 2 -> Gate
  13132. * Node 3 -> Source
  13133. M1 9 7 8 8 MM L=100u W=100u
  13134. .MODEL MM NMOS LEVEL=1 IS=1e-32
  13135. +VTO=2.61597 LAMBDA=5.9143 KP=158.503
  13136. +CGSO=1.62615e-05 CGDO=1e-11
  13137. RS 8 3 0.0219026
  13138. D1 3 1 MD
  13139. .MODEL MD D IS=3.21128e-09 RS=0.0156178 N=1.41623 BV=60
  13140. +IBV=0.00025 EG=1.2 XTI=4 TT=1e-07
  13141. +CJO=1.51284e-09 VJ=1.22907 M=0.570215 FC=0.5
  13142. RDS 3 1 1e+07
  13143. RD 9 1 0.0001
  13144. RG 2 7 6
  13145. D2 4 5 MD1
  13146. .MODEL MD1 D IS=1e-32 N=50
  13147. +CJO=1.1104e-09 VJ=0.5 M=0.9 FC=1e-08
  13148. D3 0 5 MD2
  13149. .MODEL MD2 D IS=1e-10 N=0.400012 RS=3e-06
  13150. RL 5 10 1
  13151. FI2 7 9 VFI2 -1
  13152. VFI2 4 0 0
  13153. EV16 10 0 9 7 1
  13154. CAP 11 10 2.45178e-09
  13155. FI1 7 9 VFI1 -1
  13156. VFI1 11 6 0
  13157. RCAP 6 10 1
  13158. D4 0 6 MD3
  13159. .MODEL MD3 D IS=1e-10 N=0.400012
  13160. .ENDS irf7478
  13161.  
  13162. .SUBCKT irl530n 1 2 3
  13163. * Node 1 -> Drain
  13164. * Node 2 -> Gate
  13165. * Node 3 -> Source
  13166. M1 9 7 8 8 MM L=100u W=100u
  13167. .MODEL MM NMOS LEVEL=1 IS=1e-32
  13168. +VTO=2.20864 LAMBDA=0.0132599 KP=8.81736
  13169. +CGSO=7.22511e-06 CGDO=2.6952e-07
  13170. RS 8 3 0.0241559
  13171. D1 3 1 MD
  13172. .MODEL MD D IS=5.54492e-16 RS=0.0100362 N=0.839457 BV=100
  13173. +IBV=0.00025 EG=1.2 XTI=2.86651 TT=9.23639e-06
  13174. +CJO=4.16691e-10 VJ=0.5 M=0.449578 FC=0.5
  13175. RDS 3 1 4e+06
  13176. RD 9 1 0.0502902
  13177. RG 2 7 4.82531
  13178. D2 4 5 MD1
  13179. .MODEL MD1 D IS=1e-32 N=50
  13180. +CJO=8.121e-10 VJ=0.5 M=0.667125 FC=1e-08
  13181. D3 0 5 MD2
  13182. .MODEL MD2 D IS=1e-10 N=0.447804 RS=3e-06
  13183. RL 5 10 1
  13184. FI2 7 9 VFI2 -1
  13185. VFI2 4 0 0
  13186. EV16 10 0 9 7 1
  13187. CAP 11 10 2.803e-09
  13188. FI1 7 9 VFI1 -1
  13189. VFI1 11 6 0
  13190. RCAP 6 10 1
  13191. D4 0 6 MD3
  13192. .MODEL MD3 D IS=1e-10 N=0.447804
  13193. .ENDS
  13194.  
  13195. .SUBCKT irf2805 1 2 3
  13196. * Node 1 -> Drain
  13197. * Node 2 -> Gate
  13198. * Node 3 -> Source
  13199. M1 9 7 8 8 MM L=100u W=100u
  13200. .MODEL MM NMOS LEVEL=1 IS=1e-32
  13201. +VTO=4.03759 LAMBDA=0.0533103 KP=398.124
  13202. +CGSO=4.68095e-05 CGDO=1e-11
  13203. RS 8 3 0.0037574
  13204. D1 3 1 MD
  13205. .MODEL MD D IS=6.32967e-11 RS=0.00191608 N=1.14 BV=55
  13206. +IBV=0.00025 EG=1 XTI=1.98803 TT=1.002e-07
  13207. +CJO=3.99685e-09 VJ=4.76918 M=0.778041 FC=0.1
  13208. RDS 3 1 1e+07
  13209. RD 9 1 0.0001
  13210. RG 2 7 5.17286
  13211. D2 4 5 MD1
  13212. .MODEL MD1 D IS=1e-32 N=50
  13213. +CJO=5.87467e-09 VJ=0.942264 M=0.9 FC=1e-08
  13214. D3 0 5 MD2
  13215. .MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06
  13216. RL 5 10 1
  13217. FI2 7 9 VFI2 -1
  13218. VFI2 4 0 0
  13219. EV16 10 0 9 7 1
  13220. CAP 11 10 8.69629e-09
  13221. FI1 7 9 VFI1 -1
  13222. VFI1 11 6 0
  13223. RCAP 6 10 1
  13224. D4 0 6 MD3
  13225. .MODEL MD3 D IS=1e-10 N=0.4
  13226. .ENDS irf2805
  13227.  
  13228. .SUBCKT irfl4105 1 2 3
  13229. * Node 1 -> Drain
  13230. * Node 2 -> Gate
  13231. * Node 3 -> Source
  13232. M1 9 7 8 8 MM L=100u W=100u
  13233. .MODEL MM NMOS LEVEL=1 IS=1e-32
  13234. +VTO=3.6403 LAMBDA=0 KP=17.4205
  13235. +CGSO=5.78843e-06 CGDO=3.24396e-07
  13236. RS 8 3 0.0321188
  13237. D1 3 1 MD
  13238. .MODEL MD D IS=5.51184p RS=0.023052 N=1.11346 BV=55
  13239. +IBV=0.00025 EG=1.2 XTI=4 TT=1e-07
  13240. +CJO=4.49811e-10 VJ=4.99942 M=0.709712 FC=0.1
  13241. RDS 3 1 2.2e+06
  13242. RD 9 1 0.0001
  13243. RG 2 7 2.219
  13244. D2 4 5 MD1
  13245. .MODEL MD1 D IS=1e-32 N=50
  13246. +CJO=8.27373e-10 VJ=0.5 M=0.638289 FC=1e-08
  13247. D3 0 5 MD2
  13248. .MODEL MD2 D IS=1e-10 N=0.400001 RS=3.00001e-06
  13249. RL 5 10 1
  13250. FI2 7 9 VFI2 -1
  13251. VFI2 4 0 0
  13252. EV16 10 0 9 7 1
  13253. CAP 11 10 1.23706e-09
  13254. FI1 7 9 VFI1 -1
  13255. VFI1 11 6 0
  13256. RCAP 6 10 1
  13257. D4 0 6 MD3
  13258. .MODEL MD3 D IS=1e-10 N=0.400001
  13259. .ENDS irfl4105
  13260.  
  13261. .SUBCKT irll2705 1 2 3
  13262. * Node 1 -> Drain
  13263. * Node 2 -> Gate
  13264. * Node 3 -> Source
  13265. M1 9 7 8 8 MM L=100u W=100u
  13266. .MODEL MM NMOS LEVEL=1 IS=1e-32
  13267. +VTO=2.27343 LAMBDA=0.0020292 KP=29.5731
  13268. +CGSO=8.04577e-06 CGDO=3.31657e-07
  13269. RS 8 3 0.0224463
  13270. D1 3 1 MD
  13271. .MODEL MD D IS=2.24628e-09 RS=0.0108037 N=1.43052 BV=55
  13272. +IBV=0.00025 EG=1.2 XTI=3.11888 TT=0
  13273. +CJO=5.9154e-10 VJ=1.04666 M=0.478491 FC=0.5
  13274. RDS 3 1 2.2e+06
  13275. RD 9 1 0.00981452
  13276. RG 2 7 3.36946
  13277. D2 4 5 MD1
  13278. .MODEL MD1 D IS=1e-32 N=50
  13279. +CJO=6.97606e-10 VJ=0.5 M=0.634258 FC=1e-08
  13280. D3 0 5 MD2
  13281. .MODEL MD2 D IS=1e-10 N=0.40407 RS=3e-06
  13282. RL 5 10 1
  13283. FI2 7 9 VFI2 -1
  13284. VFI2 4 0 0
  13285. EV16 10 0 9 7 1
  13286. CAP 11 10 1.82964e-09
  13287. FI1 7 9 VFI1 -1
  13288. VFI1 11 6 0
  13289. RCAP 6 10 1
  13290. D4 0 6 MD3
  13291. .MODEL MD3 D IS=1e-10 N=0.40407
  13292. .ENDS
  13293.  
  13294. .SUBCKT irl2505s 1 2 3
  13295. * Node 1 -> Drain
  13296. * Node 2 -> Gate
  13297. * Node 3 -> Source
  13298. M1 9 7 8 8 MM L=100u W=100u
  13299. .MODEL MM NMOS LEVEL=1 IS=1e-32
  13300. +VTO=2.30385 LAMBDA=0 KP=164.798
  13301. +CGSO=4.88921e-05 CGDO=2.00049e-08
  13302. RS 8 3 0.00472371
  13303. D1 3 1 MD
  13304. .MODEL MD D IS=1.30175e-08 RS=0.00405169 N=1.42785 BV=55
  13305. +IBV=0.00025 EG=1.2 XTI=3.00566 TT=0
  13306. +CJO=2.93008e-09 VJ=2.77624 M=0.583041 FC=0.5
  13307. RDS 3 1 2.2e+06
  13308. RD 9 1 0.0023624
  13309. RG 2 7 2.81965
  13310. D2 4 5 MD1
  13311. .MODEL MD1 D IS=1e-32 N=50
  13312. +CJO=5.44677e-09 VJ=0.5 M=0.736162 FC=1e-08
  13313. D3 0 5 MD2
  13314. .MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06
  13315. RL 5 10 1
  13316. FI2 7 9 VFI2 -1
  13317. VFI2 4 0 0
  13318. EV16 10 0 9 7 1
  13319. CAP 11 10 9.32038e-09
  13320. FI1 7 9 VFI1 -1
  13321. VFI1 11 6 0
  13322. RCAP 6 10 1
  13323. D4 0 6 MD3
  13324. .MODEL MD3 D IS=1e-10 N=0.4
  13325. .ENDS
  13326.  
  13327. *
  13328. .subckt ztx415 c b e
  13329. *
  13330. *zetex ztx415v3 spice model last revision 14/01/03
  13331. *
  13332. q_q1 9 8 7 qmod_1
  13333. r_r1 5 6 100
  13334. r_r2 5 4 100
  13335. r_r3 12 3 100
  13336. r_r4 3 1 500
  13337. r_r5 10 2 2k
  13338. r_r6 10 11 2
  13339. d_d1 10 5 dz20
  13340. d_d2 4 2 dz500
  13341. d_d3 10 3 dz200
  13342. d_d4 1 2 dz500
  13343. d_d5 7 9 dz300
  13344. c_c1 10 2 20p
  13345. s_s1 13 11 2 10 smod1
  13346. s_s2 9 13 2 10 smod2
  13347. s_s3 8 10 2 10 smod3
  13348. v_h1 7 10 0
  13349. h1 6 10 v_h1 50
  13350. e1 12 10 11 10 10
  13351. l_l1 9 c 1nh
  13352. l_l2 b 8 2nh
  13353. l_l3 10 e 2nh
  13354. .model qmod_1 npn is=3e-14 nf=1 bf=110 ikf=0.4 vaf=1900 ise=1p ne=1.6 nr=1 br=7
  13355. +ikr=0.2 var=75 isc=1e-10 nc=1.9 rb=0.4 re=0.1 rc=0.1 cjc=10.9p mjc=0.347 vjc=0.476
  13356. + cje=82.6p tf=1.3e-9 tr=2.3e-7
  13357. .model dz20 d is=1f bv=20 ibv=100u
  13358. .model dz200 d is=1f bv=200 ibv=100u
  13359. .model dz300 d is=1f rs=0.1 bv=300 ibv=100u
  13360. .model dz500 d is=1f n=10 bv=500 ibv=100u
  13361. * .model smod1 vswitch roff=1e10 ron=0.1 voff=4.3 von=4.6
  13362. .model smod1 SW roff=1e10 ron=0.1 VH=0.150000 VT=4.450000
  13363. * .model smod2 vswitch roff=1e3 ron=1.0 voff=4.5 von=9
  13364. .model smod2 SW roff=1e3 ron=1.0 VH=2.250000 VT=6.750000
  13365. * .model smod3 vswitch roff=1e10 ron=0.1 voff=20 von=25
  13366. .model smod3 SW roff=1e10 ron=0.1 VH=2.500000 VT=22.500000
  13367. .ends ztx415
  13368.  
  13369. .subckt LM3352MTC-3.3 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
  13370. *AVG model
  13371. rvkz1 1 16 1m
  13372. rvkz2 1 14 1m
  13373. rvkz3 1 12 1m
  13374. rvkz4 1 9 1m
  13375. vr2 2 1 0
  13376. vr3 3 1 0
  13377. vr4 4 1 0
  13378. vr5 5 1 0
  13379. vr6 6 1 0
  13380. vr7 7 1 0
  13381. vr15 15 1 0
  13382. r10 10 1 1Meg
  13383. r11 11 1 1Meg
  13384. r13 13 1 10Meg
  13385. B1 8 1000 v=3.3*u(v(13)-0.5*max(v(10,1),2))
  13386. rout 100 1 0.1
  13387. dout 100 1000 dmy
  13388. c1 100 1 1n
  13389. .model dmy d Ron=.01 Vfwd=0 Ilimit=400m
  13390. b2 10 1 i=12.5*uramp(V(1,100))*u(v(13)-0.5*max(v(10,1),2))
  13391. .ends
  13392. .SUBCKT MC33063 swc swe ct 90 2 vdd isns drc
  13393. * SW-col SW-em Ct gnd cinv vdd isns drive col
  13394. *DC-DC controller
  13395. *B5 5 0 V=~(v(9)&v(8))
  13396. B5 5 90 V=!(v(9,90)&v(8,90))
  13397. Q1 ct isns vdd QN2907
  13398. .MODEL QN2907 PNP BF=200 BR=6 CJC=19PF CJE=23PF IKF=100E-3
  13399. + IS=1.1p ISE=1.3E-11 MJC=.2 MJE=1.25 NE=1.9 NF=1.21 RC=.6
  13400. + TF=5E-10 TR=34E-9 VAF=50 VJC=.5 VJE=.85 XTB=1.5
  13401. *B6 7 0 V=~(v(4)&v(10))
  13402. B6 7 90 V=!(v(4,90)&v(10,90))
  13403. R3 5 10 100
  13404. R9 13 swe 100
  13405. *C2 10 0 100p IC=5
  13406. C2 10 90 100p IC=5
  13407. R4 2 90 10MEG
  13408. R5 7 8 100
  13409. *C3 8 0 100p IC=0
  13410. C3 8 90 100p IC=0
  13411. S1 srst 90 ct 90 _S2_mod
  13412. .MODEL _S2_mod SW VT=1.75 VH=1.25
  13413. R1 srst vdd 10k
  13414. Q2 drc 14 13 _Q3_mod
  13415. .MODEL _Q3_mod NPN BF=50
  13416. *B4 6 0 V=v(2,90) > (v(vref,90) + v(voff,90)) ? 0 : v(vdd)
  13417. B4 6 90 V=IF( v(2,90) > (v(vref,90) + v(voff,90)), 0, v(vdd,90) )
  13418. *B2 vdd ct I=V(srst,90) > 3 ? 35U : -220U
  13419. B2 vdd ct I=IF( V(srst,90) > 3, 35U, -220U )
  13420. *B3 9 0 V=(v(6,90) > 3) ? v(diff,90) > 1 ? 0 : v(vdd)
  13421. B3 9 90 V=IF( (IF((v(6,90) > 3),1,0) & IF((v(diff,90) > 1),1,0)), 0, v(vdd,90) )
  13422. *B7 16 90 V=V(vdd,90)-1.5 > 1.25 ? 1.25 : V(vdd,90)-1.25 < 0 ? 0 :V(vdd,90)-1.25
  13423. B7 16 90 V=IF( V(vdd,90)-1.5 > 1.25, 1.25, IF( V(vdd,90)-1.25 < 0, 0, V(vdd,90)-1.25 ) )
  13424. *V7 16 vref
  13425. V7 16 vref 0
  13426. R6 vref 90 400
  13427. R7 vref vdd 90k
  13428. Q1x swc 13 swe _Q4_mod
  13429. .MODEL _Q4_mod NPN BF=50 RC=.25 RE=.25 TF=0
  13430. R8 diff 90 10k
  13431. D1 14 15 DN4148
  13432. .MODEL DN4148 D BV=100V CJO=4PF IS=7E-09 M=.45 N=2 RS=.8
  13433. + TT=6E-09 VJ=.6V
  13434. D2 swe 14 DN4148
  13435. V3 drc 15 DC=700m
  13436. *B8 swe 14 I=v(5) > 2.5 ? 1m : -1m
  13437. *** Threshold changed to 0.5, because of different(0,1 versus 0.3,3.5) output level of B-sources.
  13438. B8 swe 14 I=IF( v(5,90) > 0.5, 1m, -1m )
  13439. V4 voff 90 DC=2m
  13440. C5 srst diff 10p
  13441. *B1 4 90 V=(v(6,90) > 3) ? (v(diff,90) > -1) ? v(vdd) : 0
  13442. B1 4 90 V=IF( (IF((v(6,90) > 3),1,0) & IF((v(diff,90) > -1),1,0)), v(vdd,90),0 )
  13443. R10 vdd isns 10k
  13444. .ENDS
  13445.  
  13446. ****** VIDEO GENERATOR SUBCKT *******
  13447. .SUBCKT VIDEO 64
  13448. * HORIZONTAL SYNC TIP
  13449. V_HSYNC 1 0 PULSE(0 -0.4 1.4U 0.2U 0.2U 4.7U 63.56U)
  13450. * COLOR BURST
  13451. V_CB 2 0 SIN(0 0.2 3579545)
  13452. V_CB_GATE 3 0 PULSE(0 1 7U 0.2U 0.2U 2.6U 63.56U)
  13453. E_CB 4 0 POLY(2) (2 0) (3 0) 0 0 0 0 1
  13454. * HORIZONTAL INTERVAL
  13455. E_HI 5 0 POLY(2) (1 0) (4 0) 0 1 1
  13456. * ACTIVE VIDEO - WHITE BAR
  13457. V_WB2 55 0 PULSE(0 1 13.4U 0.2U 0.2U 18U 63.56U)
  13458. * ACTIVE VIDEO - 2T PULSE
  13459. V_2TGEN 50 0 SIN(0 1 894886 0 0 203)
  13460. E_2TGN 51 0 POLY(1) (50 0) 0 0 1
  13461. V_2TGAT 52 0 PULSE(0 1 35.1255U 1N 1N 557N 63.56U)
  13462. E_2TGTE 53 0 POLY(2) (51 0) (52 0) 0 0 0 0 1
  13463. * ACTIVE VIDEO - STAIRCASE
  13464. V_SC1 56 0 PULSE(0 0.18 47.4U 10N 10N 15U 63.56U)
  13465. V_SC2 57 0 PULSE(0 0.18 50.4U 10N 10N 12U 63.56U)
  13466. V_SC3 58 0 PULSE(0 0.18 53.4U 10N 10N 9U 63.56U)
  13467. V_SC4 59 0 PULSE(0 0.18 56.4U 10N 10N 6U 63.56U)
  13468. V_SC5 60 0 PULSE(0 0.18 59.4U 10N 10N 3U 63.56U)
  13469. * ACTIVE VIDEO - CHROMA
  13470. V_CB_STC 61 0 PULSE(0 1 43.4U 10N 10N 19U 63.56U)
  13471. E_CBSTC 62 0 POLY(2) (2 0) (61 0) 0 0 0 0 1
  13472. E_COMP 64 0 POLY(9)
  13473. + (5 0) (55 0) (53 0)
  13474. + (62 0) (56 0) (57 0) (58 0)
  13475. + (59 0) (60 0)
  13476. + 0 1 1 1 1 1 1 1 1 1
  13477. R0 1 0 1MEG
  13478. R1 2 0 1MEG
  13479. R2 3 0 1MEG
  13480. R3 4 0 1MEG
  13481. R4 5 0 1MEG
  13482. R5 50 0 1MEG
  13483. R6 51 0 1MEG
  13484. R7 52 0 1MEG
  13485. R8 53 0 1MEG
  13486. R8A 55 0 1MEG
  13487. R9 56 0 1MEG
  13488. R10 57 0 1MEG
  13489. R11 58 0 1MEG
  13490. R12 59 0 1MEG
  13491. R13 60 0 1MEG
  13492. R14 61 0 1MEG
  13493. R15 62 0 1MEG
  13494. R16 64 0 1MEG
  13495. .ENDS VIDEO
  13496.  
  13497. * รรจรกรซรจรฎรฒรฅรชร  รซรจรทรญรปรต รฌรฎรครฅรซรฅรฉ ร‚ร รซรฅรญรฒรจรญร  รŸรชรฎรขรซรฅรขรจรทร  ร‚รฎรซรฎรครจรญร 
  13498. * รŒรฎรครฅรซรผ ร˜รˆรŒ รชรฎรญรฒรฐรฎรซรซรฅรฐร  TL494
  13499. * ร‘รฎรงรคร รญร  1 ร”รฅรขรฐร รซรฟ 2008 รฃรฎรคร 
  13500. *
  13501. .subckt tl494 IN1 -IN1 IN2 -IN2 FB DTC Vref OCT CT1 ET1 CT2 ET2 Ct Rt GND Vcc
  13502. A1 N005 GND N006 GND GND N005 N011 GND DFLOP Vhigh=5 Trise=50n Rout=30
  13503. A2 GND GND GND N009 N011 GND N007 GND AND Vhigh=5 Trise=50n Rout=30
  13504. A3 N005 N009 GND GND GND GND N013 GND AND Vhigh=5 Trise=50n Rout=30
  13505. A4 N006 N007 GND GND GND N004 GND GND OR Vhigh=5 Trise=300n Rout=30
  13506. A5 N006 N013 GND GND GND N015 GND GND OR Vhigh=5 Trise=300n Rout=30
  13507. G1 N002 ET1 N004 GND table=(1 0,4 250m)
  13508. G3 N014 ET2 N015 GND table=(1 0,4 250m)
  13509. A6 N008 N012 GND GND GND GND N006 GND OR Vhigh=5 Trise=50n Rout=30
  13510. A7 N010 Ct GND GND GND GND N008 GND SCHMITT Vt=0 Vh=0 Vhigh=5
  13511. A8 FB N016 GND GND GND GND N012 GND SCHMITT Vt=0 Vh=0 Vhigh=5
  13512. V4 N016 Ct 0.7
  13513. V5 N010 DTC 0.1
  13514. D5 N020 FB IDEAL
  13515. D6 N024 FB IDEAL
  13516. R1 N017 N018 1meg
  13517. R3 N021 N022 1meg
  13518. C4 N019 GND 15.9n
  13519. C5 N023 GND 15.9n
  13520. D1 ET1 N002 IDEALZ
  13521. E1 N020 GND N019 GND table=(0,0 5,5)
  13522. E2 N024 GND N023 GND table=(0,0 5,5)
  13523. R5 -IN1 GND 5meg
  13524. R6 IN1 GND 5meg
  13525. R7 -IN2 GND 5meg
  13526. R8 IN2 GND 5meg
  13527. I1 FB GND 0.7m
  13528. V2 N001 GND 3.65
  13529. F1 GND Ct V2 -1
  13530. S1 GND Ct N003 GND OSC
  13531. D3 CT1 N002 IDEAL1
  13532. D7 CT1 N002 IDEAL2
  13533. G2 ET1 N002 Vcc ET1 table=(0 250m,1.42 243m,1.46 150m,1.57 0)
  13534. D2 ET2 N014 IDEALZ
  13535. D4 CT2 N014 IDEAL1
  13536. D8 CT2 N014 IDEAL2
  13537. G4 ET2 N014 Vcc ET2 table=(0 250m,1.42 243m,1.46 150m,1.57 0)
  13538. C7 N002 ET1 5p
  13539. C8 N014 ET2 5p
  13540. D9 N001 Rt IDEAL
  13541. R14 Ct GND 5meg
  13542. R15 ET2 GND 5meg
  13543. R16 ET1 GND 5meg
  13544. G5 Vcc GND Vcc GND TABLE=(1 0,5 4.5m,6.85 7.6m,40 8.4m)
  13545. I2 GND Vref 25m
  13546. D10 GND Vref IDEAL5
  13547. B1 Vcc GND I=I(D10)+25m
  13548. R9 N009 OCT 1k
  13549. R10 Ct N003 5k
  13550. C1 N003 GND 10p
  13551. I3 N018 N019 10m load
  13552. I4 N019 N018 10m load
  13553. I5 N022 N023 10m load
  13554. I6 N023 N022 10m load
  13555. E3 N017 GND IN1 -IN1 100000
  13556. E4 N021 GND IN2 -IN2 100000
  13557. D11 GND N019 DAMP
  13558. D12 GND N023 DAMP
  13559. .MODEL IDEALZ D(Ron=0 Roff=20meg Vfwd=0 Vrev=41)
  13560. .MODEL IDEAL D(Ron=0 Roff=1G Vfwd=0)
  13561. .model OSC SW(Ron=10 Vt=1.51 Vh=1.49 Ilimit=20m)
  13562. .MODEL IDEAL1 D(Ron=2 Roff=1G Vfwd=0.66)
  13563. .MODEL IDEAL2 D(Ron=175 Roff=1G Vfwd=0)
  13564. .MODEL IDEAL5 D(Ron=0 Roff=1G Vfwd=0 Vrev=5)
  13565. .model DAMP D(Ron=0 Rrev=500 Roff=1Meg Vfwd=0 Vrev=3.5 Revepsilon=1)
  13566. .ends tl494
  13567.  
  13568. .subckt CD4541B RTC CTC RS AR MR VSS VCC B A MODE SEL Q
  13569. XU1 N001 nreset N004 N005 N006 Counter8
  13570. XU2 N007 nreset N011 N012 N013 Counter8
  13571. XU3 A N009 vcc vss NC_01 bufinvin
  13572. XU4 B N015 vcc vss N010 bufinvin
  13573. XU5 nAR N017 vcc vss NC_02 bufinvin
  13574. XU6 MR NC_03 vcc vss N023 bufinvin
  13575. XU7 MODE N024 vcc vss NC_04 bufinvin
  13576. XU8 SEL N021 vcc vss NC_05 bufinvin
  13577. XU9 RS N003 vcc vss NC_06 bufinvin
  13578. XU10 N001 CTC vcc vss bufinvout
  13579. XU11 N002 RTC vcc vss bufinvout
  13580.  
  13581. XU12 N001 N006 N008 N007 mux2
  13582.  
  13583. XU13 N012 N011 N013 N015 N009 N014 mux3
  13584.  
  13585. A1 0 N003 0 nreset 0 N002 N001 0 AND Trise=50n
  13586.  
  13587. A2 nc_01 N015 0 0 0 0 N008 0 AND Trise=50n
  13588.  
  13589. XU14 N022 Q vcc vss bufinvout
  13590. A3 N018 0 0 N023 0 nreset 0 0 OR Trise=50n
  13591. XU15 N017 N018 vcc powreset
  13592. A4 N014 0 0 N019 0 N016 0 0 AND Trise=50n
  13593. A5 N016 nreset 0 N024 0 N019 0 0 AND Trise=50n
  13594. A6 0 N016 0 nreset 0 N020 0 0 AND Trise=50n
  13595. A7 0 N020 0 N021 0 N022 0 0 XOR Trise=50n
  13596. .end CD4541B
  13597.  
  13598. .subckt Counter8 N009 nreset N002 N005 N008
  13599. * clc nq2 nq5 nq8
  13600. *
  13601. * d c pre clr nq q
  13602. A1 N001 0 N009 0 N010 N001 0 0 DFLOP Trise=250n
  13603. A2 N002 0 N001 0 N010 N002 q2 0 DFLOP Trise=250n
  13604. A3 N003 0 N002 0 N010 N003 0 0 DFLOP Trise=250n
  13605. A4 N004 0 N003 0 N010 N004 0 0 DFLOP Trise=250n
  13606. A5 N005 0 N004 0 N010 N005 q5 0 DFLOP Trise=250n
  13607. A6 N006 0 N005 0 N010 N006 0 0 DFLOP Trise=250n
  13608. A7 N007 0 N006 0 N010 N007 0 0 DFLOP Trise=250n
  13609. A8 N008 0 N007 0 N010 N008 q8 0 DFLOP Trise=250n
  13610. A10 nReset 0 0 0 0 N010 0 0 BUF Trise=50n
  13611. .ends Counter8
  13612. .subckt mux2 a b c out
  13613. * c=1 --> out=a c=0 --> out=b
  13614. c1 a 0 10p
  13615. c2 b 0 10p
  13616. c3 c 0 10p
  13617. *B1 out 0 v=(v(a)&v(c))|(v(b)&(!v(c))) tripdv=50n tripdt=50n
  13618. sw1 out a c 0 sw1
  13619. sw2 out b c 0 sw2
  13620. .model sw1 sw ron=1k roff=1Gig vt=0.5
  13621. .model sw2 sw ron=1Gig roff=1k vt=0.5
  13622. .ends mux2
  13623. .subckt mux3 x y z c1 c2 out
  13624. x1 x y c1 a mux2
  13625. x2 a z c2 out mux2
  13626. .ends mux3
  13627.  
  13628. .subckt bufinvin in out vcc gnd nout
  13629. ci in gnd 10p
  13630. d1 in vcc dmy
  13631. d2 gnd in dmy
  13632. .model dmy d Ron=10 Roff=1gig Vfwd=.5
  13633. b1 outv 0 v=v(in,gnd)/max(v(vcc,gnd),1)
  13634. A1 outv 0 0 0 0 out nout 0 BUF Trise=50n
  13635. .ends bufinvin
  13636.  
  13637. .subckt bufinvout in out vcc gnd
  13638. A1 in 0 0 0 0 out1 0 0 BUF Trise=50n
  13639. B1 out2 gnd v=v(out1)*v(vcc,gnd)
  13640. rut out out2 1Meg
  13641. b2 out out2 i=V(out,out2)*uramp(V(vcc,gnd)-1.5)*2e-4
  13642. cout out gnd 10p
  13643. .ends bufinvout
  13644.  
  13645. .subckt powreset ar out vcc
  13646. S1 0 N001 AR 0 klu
  13647. C1 N001 0 100p
  13648. A1 N001 0 0 0 0 0 out 0 SCHMITT VT=8 vh=0.1
  13649. D1 N001 vcc my
  13650. .model D D
  13651. .model klu sw ron=1Gig roff=1 vt=0.5 vh=20m
  13652. .model my d Ron=1 Roff=100k Vfwd=.1
  13653. .ends powreset
  13654.  
  13655. .subckt L6920D FB LBI LBO REF OUT LX GND_ SHDN Vout=3.3
  13656. D1 LX OUT D1
  13657. V1 N001 GND_ {Vout}
  13658. V2 REF GND_ 1.22
  13659. S1 GND_ LBOv REF LBI SWL
  13660. R1 GND_ FB 10Meg
  13661. S2 OUT N001 SHDN GND_ SWsh
  13662. S3 lbo lbov SHDN GND_ SWsh
  13663. .model D D
  13664. .model D1 d Ron=10 Roff=10Meg Vfwd=.5
  13665. .model swl sw Ron=1k Roff=100Meg Vt=10m Vh=10m
  13666. .model swsh sw Ron=.01 Roff=10Meg Vt=0.4 Vh=0.2 Ilimit=600mA
  13667. .ends L6920D
  13668.  
  13669. .subckt ZXLD1350 10 26 13 18 20
  13670. *Connections Lx Gnd Adj Vin Isense
  13671. * Vref Generator *
  13672. E2 19 26 18 26 1
  13673. * D7 26 14 Dzener
  13674. B111 14 26 V=Min(V(19), 1.25)
  13675. * kl 13dec07
  13676. R3 14 19 20k
  13677. * R3 reduced from 200k kl 17dec07
  13678. R4 14 13 200k
  13679. * ADJ input filter *
  13680. * Faster (but unrealistic) startup can be
  13681. * achieved by changing initial conditions
  13682. * on C1 to C3 to match the settled value.
  13683. * eg 1.25V if ADJ is floating in the application
  13684. * or equal to the external DC voltage applied to ADJ
  13685. * or equal to the average value of the PWM signal
  13686. * applied to ADJ
  13687. C1 15 26 5p IC=0
  13688. C2 16 26 5p IC=0
  13689. C3 17 26 5p IC=0
  13690. R5 13 15 7.7meg
  13691. R6 15 16 7.7meg
  13692. R7 16 17 7.7meg
  13693. E7 12 26 17 26 1
  13694. * ADJ lockout with hysteresis *
  13695. R8 12 adj_lockout 1000
  13696. I1 12 adj_lockout DC 50uA
  13697. C7 adj_lockout 12 1p IC=0
  13698. X_S4 adj_lockout 26 adj_lockout 12 ZXLD1350_S4
  13699. X_S5 adj_lockout 26 23 26 ZXLD1350_S5
  13700. * UV lockout *
  13701. X_S6 18 26 23 26 ZXLD1350_S6
  13702. * Main Function *
  13703. G2 26 8 18 20 1m
  13704. C4 26 20 20p
  13705. R9 26 9 3.832k
  13706. R10 9 8 10.859k
  13707. X_S7 23 26 9 26 ZXLD1350_S7
  13708. G3 21 26 8 12 1000m
  13709. R11 26 21 1meg
  13710. V3 24 26 700mV
  13711. D8 24 21 Dclamp
  13712. V4 22 26 6V
  13713. D9 21 22 Dclamp
  13714. * Comp Delay (Asymmetric) *
  13715. E4 25 26 21 26 1
  13716. C6 26 23 25p
  13717. R13 23 25 2k
  13718. * Output NMOS *
  13719. X_S8 23 26 11 26 ZXLD1350_S8
  13720. R12 11 10 0.4
  13721. C5 26 10 100p
  13722. * Supply Current *
  13723. X_S3 adj_lockout 26 4 7 ZXLD1350_S3
  13724. R1 26 7 1.58k
  13725. R2 26 4 67k
  13726. X_S2 18 26 6 4 ZXLD1350_S2
  13727. V1 5 26 1V
  13728. X_F1 6 5 18 26 ZXLD1350_F1
  13729. * Timestep Control *
  13730. * Only purpose is to force timestep without using a control card *
  13731. V99 99 26 DC 0 AC 0 PULSE 0 0 0 100n 100n 500n 1u
  13732. R99 99 26 100
  13733. .model Dzener D Is=1e-8 N=10 bv=1.245 ibv=1p Cjo=.1p Rs=.1 TBV1=4e-5 nbv=.01
  13734. .model Dclamp D Is=2.682n N=1.836 Rs=.5664 Ikf=44.17m Cjo=4p M=.3333 Vj=.5
  13735. * .ends ZXLD1350
  13736. .subckt ZXLD1350_F1 1 2 3 4
  13737. F_F1 3 4 VF_F1 1
  13738. VF_F1 1 2 0V
  13739. .ends ZXLD1350_F1
  13740. .subckt ZXLD1350_S3 1 2 3 4
  13741. S_S3 3 4 1 2 S3
  13742. RS_S3 1 2 1G
  13743. .MODEL S3 VSWITCH Roff=1e6 Ron=1.0 Voff=198mV Von=200mV
  13744. .ends ZXLD1350_S3
  13745. .subckt ZXLD1350_S2 1 2 3 4
  13746. S_S2 3 4 1 2 S2
  13747. RS_S2 1 2 1G
  13748. .MODEL S2 VSWITCH Roff=1e6 Ron=1.0 Voff=1.248V Von=1.25V
  13749. .ends ZXLD1350_S2
  13750. .subckt ZXLD1350_S6 1 2 3 4
  13751. S_S6 3 4 1 2 S6
  13752. RS_S6 1 2 1G
  13753. .MODEL S6 VSWITCH Roff=10e6 Ron=1.0 Voff=6.505V Von=6.495V
  13754. .ends ZXLD1350_S6
  13755. .subckt ZXLD1350_S7 1 2 3 4
  13756. S_S7 3 4 1 2 S7
  13757. RS_S7 1 2 1G
  13758. .MODEL S7 VSWITCH Roff=10e6 Ron=1.0 Voff=2750mV Von=2755mV
  13759. .ends ZXLD1350_S7
  13760. .subckt ZXLD1350_S8 1 2 3 4
  13761. S_S8 3 4 1 2 S8
  13762. RS_S8 1 2 1G
  13763. .MODEL S8 VSWITCH Roff=10e6 Ron=1.0 Voff=2750mV Von=2755mV
  13764. .ends ZXLD1350_S8
  13765. .subckt ZXLD1350_S4 1 2 3 4
  13766. S_S4 3 4 1 2 S4
  13767. RS_S4 1 2 1G
  13768. .MODEL S4 VSWITCH Roff=10e6 Ron=1 Voff=255mV Von=245mV
  13769. .ends ZXLD1350_S4
  13770. .subckt ZXLD1350_S5 1 2 3 4
  13771. S_S5 3 4 1 2 S5
  13772. RS_S5 1 2 1G
  13773. .MODEL S5 VSWITCH Roff=10e6 Ron=1.0 Voff=251mV Von=249mV
  13774. .ends ZXLD1350_S5
  13775. .ends ZXLD1350
  13776.  
  13777. .subckt coresat L1 L2 params: Feddy=25k IVSEC=0 Ae=0.000067 lm=0.037
  13778. +lg=0 Bsat=350m ur=6000 N=15 Hc=50
  13779. *
  13780. .param VSEC={N*Ae*Bsat}
  13781. .param u0={1.25u}
  13782. .param u={u0*ur/(1+ur*(lg/lm))}
  13783. .param Lmag={u*N^2*Ae/lm}
  13784. .param Lsat={u0*N**2*Ae/(lm+lg)}
  13785. .param IHyst={Hc*lm/N}
  13786. .param Cjo={3*VSEC/(6.28*Feddy*clamp*Lmag)}
  13787. .param clamp={250}
  13788. *
  13789. Rdum phi 0 10G
  13790. F1 L1 12 VM 1
  13791. Gint 0 phi 12 L1 -1
  13792. C1 phi 0 {VSEC/Clamp} IC={IVSEC/VSEC*clamp}
  13793. Ebuf 5 0 phi 0 1
  13794. Rmag 8 0 {Lmag*clamp/VSEC}
  13795. VM 5 8
  13796. D3 2 9 D2mod
  13797. V6 9 0 DC={Clamp}
  13798. R2 2 8 {Lsat*clamp/VSEC}
  13799. V8 0 10 DC={Clamp}
  13800. Vdum 12 L2
  13801. D4 10 2 D2mod
  13802. I1 6 L1 DC={IHYST}
  13803. *E1 100 0 Value={ ({N}/{lm})*I(VDUM) }
  13804. *E2 110 0 Value={ V(phi)*{VSEC}/({N}*{Ae})/{CLAMP} }
  13805. I6 6 12 DC={IHYST}
  13806. D1 L1 6 Dmod
  13807. D2 12 6 Dmod
  13808. .MODEL Dmod D N=1
  13809. .MODEL D2mod D CJO={Cjo} VJ=25
  13810. .ENDS coresat
  13811.  
  13812. .subckt tlv431_on 7 6 11
  13813. * k a fdbk
  13814. *tlv431_on;on semiconductor;voltage reference;cmos 1.2v ref.
  13815. .model dclamp d (is=13.5n rs=25m n=1.59 cjo=45p vj=.75 m=.302 tt=50.4n bv=36v ibv=1ma)
  13816. .model dcl2 d rs=660k
  13817. v1 1 6 1.24
  13818. r1 6 2 15.6
  13819. c1 2 6 .5u
  13820. r2 2 3 100
  13821. c2 3 4 1.3u
  13822. r3 4 6 8
  13823. g2 6 8 3 6 .86
  13824. d1 5 8 dclamp
  13825. d2 7 8 dclamp
  13826. d4 6 8 dclamp
  13827. v4 5 6 1.00
  13828. g1 6 2 1 11 0.11
  13829. vclamp 9 6 14.5
  13830. d3 7 9 dcl2
  13831. .model qin npn bf=1 tr=1u
  13832. .ends
  13833.  
  13834. .subckt tlv431_my 7 6 11
  13835. * k a fdbk
  13836. * 1.2v ref.
  13837. .model dclamp d (is=13.5n rs=25m n=1.59 cjo=45p vj=.75 m=.302 tt=50.4n bv=36v ibv=1ma)
  13838. .model dcl2 d rs=660k
  13839. v1 1 6 1.24
  13840. r1 6 2 15.6
  13841. c1 2 6 .5u
  13842. r2 2 3 100
  13843. c2 3 4 1.3u
  13844. r3 4 6 8
  13845. g2 6 8 3 6 .86
  13846. d1 5 8 dclamp
  13847. d2 7 8 dclamp
  13848. d4 6 8 dclamp
  13849. v4 5 6 1
  13850. g1 6 2 1 11 0.11
  13851. vclamp 9 6 14.5
  13852. d3 7 9 dcl2
  13853. q 7 11 100 qin
  13854. r 100 6 250k
  13855. .model qin npn bf=1 tr=1u
  13856. .ends
  13857.  
  13858. .SUBCKT ZR431L 1 2 3
  13859. *Connections Vz Vref Gnd
  13860. *
  13861. *Input current
  13862. Rin 2 3 Rmod1 1.127E7
  13863. D1 3 2 Dmod1
  13864. D2 2 1 Dmod1
  13865. Cin 2 3 10p
  13866. *
  13867. *Quiescent current
  13868. E1 50 3 2 3 1
  13869. D8 50 51 Dmod1
  13870. Rq 51 52 Rmod4 31E3
  13871. Vq 52 3 0
  13872. F1 1 3 Vq 1
  13873. Ro 1 3 1.5E6
  13874. *
  13875. *Reference voltage
  13876. Iref 3 21 1.2405E-3
  13877. Rref 21 3 Rmod2 1000
  13878. *
  13879. *Voltage dependence
  13880. G1 21 3 POLY(1) 1 3 0 1.57E-6 -0.97e-7
  13881. *
  13882. *Gain
  13883. G2 3 31 2 21 1e-7
  13884. Rt2 3 31 100E6
  13885. Rt3 3 32 3E6
  13886. Ct1 31 32 3E-13
  13887. D3 31 3 Dmod1
  13888. D4 3 31 Dmod1
  13889. *
  13890. *Output
  13891. *Q1 5 42 3 Qmod1
  13892. Cr1 7 31 1.5e-14
  13893. G3 41 3 31 3 0.8
  13894. Rc1 6 7 5
  13895. Rc2 7 5 5
  13896. D6 3 41 Dmod1
  13897. D7 3 1 Dmod1
  13898. L1 1 6 10nH
  13899. D9 5 41 Dmod2
  13900. *
  13901. .MODEL Qmod1 NPN BF=220 CJC=3p CJE=3p
  13902. .MODEL Rmod1 RES (TC1=2.95E-3 TC2=-5E-7)
  13903. .MODEL Rmod2 RES (TC1=1.5e-5 TC2=-3.5e-7)
  13904. .MODEL Rmod3 RES (TC1=-2.5E-3 TC2=2E-5)
  13905. .MODEL Rmod4 RES (TC1=1.7E-3 TC2=0)
  13906. .MODEL Dmod1 D IS=5f RS=4 BV=22
  13907. .MODEL Dmod2 D IS=1E-18 RS=.01
  13908. .ENDS ZR431L
  13909.  
  13910. *TITLE=ZXRE060 MACROMODEL
  13911. *ORIGIN=DZSL_AG_GS
  13912. *DATE=3rd March 2011
  13913. *VERSION=1
  13914. *PIN_ORDER 1:PGND, 2:GND, 3:IN, 4:FB, 5:OUT
  13915. *
  13916. .subckt ZXRE060 PGND GND IN FB OUT
  13917. * pins-----------1----2----3----4---5
  13918. *
  13919. *Voltage reference with temperature effect
  13920. E1 REFG 1 value={(0.6+1.72e-5*(TEMP-25)-1e-7*(TEMP-25)**2)*(0.5+0.5*tanh(4*(V(VCCL)-1.5)))}
  13921. I1 VCCL 1 TBL(0 0 1 0.48m) ; Supply current, my
  13922. R1 REFG inm 48k
  13923. R2 FB inp 48k
  13924. C1 inm inp 0.2p
  13925. I3 VCCL inp 45n ; input bias current
  13926. I4 VCCL inm 45n
  13927. *
  13928. *First amplifier, limited to internal 2V supply
  13929. E2 E2out 1 value={tanh(11.52*(V(inp)-V(inm)))}
  13930. R7 E2out C3p 1
  13931. C3 C3p 1 250n ; 600kHz first breakpoint
  13932. R3 C3p int 10k
  13933. I2 VCCL int 2.5u
  13934. C2 int 1 6p ; 2MHz second breakpoint
  13935. *Second amplifier: transconductance
  13936. *with sink current output voltage limit of 0
  13937. *source current output voltage limit of 2V
  13938. G1 G1out 1 value={11e-6*(1-tanh(19.3*(v(int)-v(Q2e))))*tanh(10*max((V(G1out)-V(1)),0))}
  13939. G2 VCCL G1out value={11e-6*(1+tanh(19.3*(V(int)-V(Q2e))))*tanh(10*max(2-(V(G1out)-V(1)),0))}
  13940. *
  13941. *Output Stage
  13942. Q1 Q1c G1out Q2b 1 NPNCT
  13943. R4 VCCL Q1c 250
  13944. R5 Q2b PGNDL 50k
  13945. Q2 OUTL Q2b Q2e 1 NPNCT 5
  13946. R6 Q2e PGNDL 2.56
  13947. *
  13948. L1 IN VCCL 2n
  13949. L2 GND 1 2n
  13950. L3 OUT OUTL 2n
  13951. L4 PGND PGNDL 2n
  13952. *Output transistor model from CT
  13953. .model NPNCT NPN
  13954. + is=2.265f
  13955. + nf=1
  13956. + ise=6.055f
  13957. + ne=1.562
  13958. + bf=190
  13959. + ikf=28.71m
  13960. + vaf=22.83
  13961. + nr=1.008
  13962. + isc=1e-24
  13963. + nc=1.543
  13964. + br=34.83
  13965. + ikr=1.250m
  13966. + var=19.13
  13967. + rb=267.9
  13968. + irb=1.250m
  13969. + rbm=100.0m
  13970. + re=802.9m
  13971. + rc=164.1m
  13972. + cje=163.1f
  13973. + vje=1.200
  13974. + mje=151.0m
  13975. + tf=70.00p
  13976. + xtf=10.00
  13977. + vtf=30.00
  13978. + itf=200.0m
  13979. + ptf=34.00
  13980. + cjc=380.6f
  13981. + vjc=410.0m
  13982. + mjc=360.0m
  13983. + xcjc=50.00m
  13984. + tr=6.00n
  13985. + cjs=525.2f
  13986. + vjs=401.0m
  13987. + mjs=179.2m
  13988. + xtb=200.0m
  13989. + xti=5.100
  13990. + eg=1.110
  13991. + fc=950.0m
  13992. .ends ZXRE060
  13993.  
  13994.  
  13995.  
  13996. *
  13997. * Trace Elliot 50W output transformer, part 73-TRAN-50WOP
  13998. *
  13999. * D.Munro 10/4/97
  14000. *
  14001. * Pin 1 anode'
  14002. * 2 HT
  14003. * 3 anode''
  14004. *
  14005. * 4,5 output 16 ohm
  14006. *
  14007. * Note that altough the transformer is tapped 4/8/16 ohms, only the
  14008. * 16 ohm output is modelled.
  14009. *
  14010. .SUBCKT trace50 1 2 3 4 5
  14011. L12 in1 in2 41.02m
  14012. C1_1 in2 2 -797.5p
  14013. Rdc1 in1 N61 60.55
  14014. Lmag in1 2 99.45
  14015. Rcore in1 2 2.458Meg
  14016. Rac1 N61 1 63.93
  14017. Lac1 N61 1 0.1083
  14018. L23 in2 in3 78.28m
  14019. C2_23 3 5 831.3p
  14020. C3_23 3 N43 503.0p
  14021. Efwd2 N82 3 in2 2 1.000
  14022. Vsens2 N82 N42
  14023. Ffbk2 in2 2 Vsens2 1.000
  14024. C1_2 N82 3 251.9p
  14025. Rdc2 N42 N62 61.68
  14026. Rac2 N62 2 62.58
  14027. Lac2 N62 2 0.2074
  14028. Efwd3 N83 5 in3 2 0.1352
  14029. Vsens3 N83 N43
  14030. Ffbk3 in3 2 Vsens3 0.1352
  14031. C1_3 N83 5 2.426p
  14032. Rdc3 N43 N63 0.8561
  14033. Rac3 N63 4 1.501
  14034. Lac3 N63 4 694.1u
  14035. .ENDS
  14036.  
  14037.  
  14038.  
  14039. *3/16/07 TRANSFORMER with Bertotti magnetization without hysteresis
  14040. * Using MKS units: M in Tesla=1e4 gauss
  14041.  
  14042. * P1, P2: primary winding S1,S2: secondary
  14043. * Np - primary turns
  14044. * Ns - secondary turns
  14045. * Ac - core area in meters^2
  14046. * Le - core magnetic path length in meters
  14047. * Lg - core air gap in meters
  14048. * Hard - 0=soft material (ferrite), 1=hard material (permalloy)
  14049.  
  14050. .SUBCKT TransBertotti P1 P2 S1 S2 PARAMS:
  14051. + Np=100 Ns=100 Ac=1e-5 Le=1e-2 Lg=0
  14052. + Bsat=0.4891 Chi=0.014 cb=0.90 Hc=12.2276 Hard=0 ;material parameters
  14053. * material PARAMs are for MN8CX ferrite
  14054.  
  14055. .PARAM Scale=1e-6 ;scale differentiation
  14056.  
  14057. Cdiff B 10 {Scale} ;differentiate flux with Vsense. scaling 1e-6
  14058. Vsense 10 0 0 ;sense dflux/dt currrent
  14059.  
  14060. Hp P1 1 Vsense {Np*Ac/Scale}
  14061. Vpsense 1 P2 0 ;sense primary current
  14062. Hs S1 2 Vsense {Ns*Ac/Scale}
  14063. Vssense 2 S2 0 ;sense secondary current
  14064.  
  14065. *Bh H1 0 v=({Np}*I(Vpsense) + {Ns}*I(Vssense)) / {Le}
  14066. Bh H1 0 v=({Np}*I(Vpsense) + {Ns}*I(Vssense) - v(B)/(4*PI*1e-7)*{Lg}) / {Le}
  14067.  
  14068. Voffset H H1 1E-30 ;dc bias needed to make AC analysis work
  14069.  
  14070. *Bertotti BH model with no hysteresis
  14071. * this model takes H as input, outputs flux B. both ground referenced
  14072. Bpirr Pirr 0 v=(abs(v(H)) - {Hc/(1-cb)}) + {Hc/(1-cb)} * exp(-abs(v(H))/{Hc/(1-cb)})
  14073. BXinitial Xinit 0 v={Chi} * sgn(v(H)) *( {(1-cb)} * v(Pirr) + {cb}*abs(v(H)) )
  14074. BM B 0 v={Bsat}*( {1-Hard} * 2/PI * atan(PI/2 * v(Xinit)) ;round materials
  14075. + + {Hard} * if(( (abs(v(Xinit)) > 50) , sgn(v(Xinit)) , tanh(v(Xinit)) ) ) ) ;hard materials
  14076. .ENDS
  14077.  
  14078. * Helmut Sennewald 11/9/2003
  14079. * NIMH1 is a slightly changed version of the original circuit.
  14080. * I haven't understood what the original SOC shows.
  14081. * I think the new SOC1 really shows the charge of the battery.
  14082. *
  14083. *********************************************************************************
  14084. * NIMH.SUB - PSpice Nickel-Metal-Hydride battery discharge simulator
  14085. * Optimized for 4/5A and AA Standard Cells
  14086. * Discharge rates from 0C to 5C
  14087. *
  14088. * By: S.C.Hageman 10/01/1993 Version: 11/03/1993
  14089. *
  14090. * NOTE: THIS TECHNOLOGY IS NEW AS OF LATE 1993, THE ACTUAL PERFORMANCE OF NIMH
  14091. * CELLS IS LIKELY TO CHANGE QUICKLY AS THE PRODUCTION BUGS ARE WORKED OUT.
  14092. * USE WITH CARE....SCH
  14093. *********************************************************************************
  14094.  
  14095. .SUBCKT NIMH1
  14096.  
  14097. ; ----- Nodes -----
  14098. ;+ +OUTPUT -OUTPUT SOC RATE
  14099. ; New line from Helmut S.
  14100. + +OUTPUT -OUTPUT SOC1 RATE
  14101. ; ^ ^ ^ ^
  14102. ; | | | |
  14103. ; | | | +-- Instantaneous discharge rate, 1V=C, 10V=10C
  14104. ; | | +------ State of charge output node, 1V=100%, 0V=0%
  14105. ; +-------+----------- +/- Cell connections (Floating)
  14106. ;
  14107. ; ----- Parameters -----
  14108. + PARAMS: CAPACITY=1, RESISTANCE=0.1
  14109. ; ^ ^
  14110. ; | |
  14111. ; | +----------- Cell resistance in ohms
  14112. ; +----------- Cell capacity in Amp-Hours, 1=1A-Hr, 0.5=0.5A-Hr
  14113. ; measured at 5 hour rate
  14114.  
  14115. *--------------------------------------------------------------------------------
  14116.  
  14117. * The next three lines are new from Helmut S.
  14118. .PARAM CHARGE=1
  14119. .IC V(50)={CHARGE}
  14120. E1 SOC1 0 50 0 1
  14121.  
  14122. * * DISCHARGE RATE CALCULATION * *
  14123. E_Rate RATE 0 VALUE={ I(V_Sense)/CAPACITY }
  14124. R2 RATE 60 1 ;R2-C2 provide 3 second delayed time constant
  14125. C1 60 0 3
  14126.  
  14127. * * LOW RATE ADDITIONAL ENERGY LOOK-UP TABLE AND TRANSFER * *
  14128. E_LowRate LowRate 0 TABLE { V(RATE) }=(0,0) (0.001,0.15) (0.1,0.1) (0.2,0)
  14129. R3 LowRate 0 1G
  14130. G_LowRate 0 50 VALUE={ V(LowRate)*I(V_Sense) }
  14131.  
  14132. * * DISCHARGE AND STATE OF CHARGE * *
  14133. G_Discharge SOC 0 VALUE={ I(V_Sense) } ; Discharge Current
  14134.  
  14135. * * LOST CAPACITY DURING FAST DISCHARGE DELAYED BY R2-C1 * *
  14136. E_LostRate 50 SOC TABLE { V(60) }=(0.2,0.0) (1.0,0.15) (5,0.2)
  14137.  
  14138. * * AMP-HOUR CAPACITY OF BATTERY * *
  14139. C_CellCapacity 50 0 { 3600 * CAPACITY * 1.01 }
  14140. R1 50 0 1G
  14141.  
  14142. * * CELL RESISTANCE * *
  14143. R_Cell 20 30 { RESISTANCE }
  14144.  
  14145. * * CELL OUTPUT CURRENT SENSE * *
  14146. V_Sense -OUTPUT 30 0
  14147.  
  14148. * * CELL OUTPUT VOLTAGE VS STATE OF CHARGE * *
  14149. E_Invert Invert 0 TABLE { V(SOC) }=(0,1) (1,0)
  14150. R4 Invert 0 1G
  14151.  
  14152. E_Cell +OUTPUT 20 TABLE { V(Invert) }=
  14153. +(0.0000E+00 1.3346E+00) (7.0989E-03 1.3244E+00) (1.6327E-02 1.3144E+00)
  14154. +(2.9283E-02 1.3042E+00) (4.2593E-02 1.2942E+00) (6.8859E-02 1.2841E+00)
  14155. +(1.3008E-01 1.2733E+00) (4.3605E-01 1.2633E+00) (5.1165E-01 1.2532E+00)
  14156. +(5.8033E-01 1.2432E+00) (6.4635E-01 1.2331E+00) (7.0190E-01 1.2231E+00)
  14157. +(7.5834E-01 1.2130E+00) (8.0324E-01 1.2030E+00) (8.3075E-01 1.1929E+00)
  14158. +(8.5116E-01 1.1828E+00) (8.6820E-01 1.1727E+00) (8.8310E-01 1.1627E+00)
  14159. +(8.9641E-01 1.1527E+00) (9.0848E-01 1.1425E+00) (9.1860E-01 1.1324E+00)
  14160. +(9.2730E-01 1.1223E+00) (9.3475E-01 1.1122E+00) (9.4167E-01 1.1021E+00)
  14161. +(9.4841E-01 1.0919E+00) (9.5480E-01 1.0817E+00) (9.6013E-01 1.0716E+00)
  14162. +(9.6439E-01 1.0615E+00) (9.6776E-01 1.0515E+00) (9.7060E-01 1.0407E+00)
  14163. +(9.7291E-01 1.0299E+00) (9.7486E-01 1.0190E+00) (9.7663E-01 1.0080E+00)
  14164. +(9.7823E-01 9.9782E-01) (9.8001E-01 9.8706E-01) (9.8196E-01 9.7630E-01)
  14165. +(9.8391E-01 9.6612E-01) (9.8586E-01 9.5606E-01) (9.8799E-01 9.4542E-01)
  14166. +(9.9012E-01 9.3524E-01) (9.9225E-01 9.2518E-01) (9.9420E-01 9.1498E-01)
  14167. +(9.9580E-01 9.0400E-01) (9.9687E-01 8.9186E-01) (9.9740E-01 8.7990E-01)
  14168. +(9.9775E-01 8.6280E-01) (9.9793E-01 8.4818E-01) (9.9811E-01 8.2718E-01)
  14169. +(9.9828E-01 7.9518E-01) (9.9846E-01 7.4066E-01) (9.9864E-01 6.4712E-01)
  14170. +(9.9882E-01 5.1380E-01) (9.9899E-01 3.3476E-01) (1.0000E+00 0.0000E+00)
  14171. .ENDS
  14172.  
  14173.  
  14174.  
  14175.  
  14176. *********************************************************************************
  14177. * NIMH.SUB - PSpice Nickel-Metal-Hydride battery discharge simulator
  14178. * Optimized for 4/5A and AA Standard Cells
  14179. * Discharge rates from 0C to 5C
  14180. *
  14181. * By: S.C.Hageman 10/01/1993 Version: 11/03/1993
  14182. *
  14183. * NOTE: THIS TECHNOLOGY IS NEW AS OF LATE 1993, THE ACTUAL PERFORMANCE OF NIMH
  14184. * CELLS IS LIKELY TO CHANGE QUICKLY AS THE PRODUCTION BUGS ARE WORKED OUT.
  14185. * USE WITH CARE....SCH
  14186. *********************************************************************************
  14187.  
  14188. .SUBCKT NIMH
  14189.  
  14190. ; ----- Nodes -----
  14191. + +OUTPUT -OUTPUT SOC RATE
  14192. ; ^ ^ ^ ^
  14193. ; | | | |
  14194. ; | | | +-- Instantaneous discharge rate, 1V=C, 10V=10C
  14195. ; | | +------ State of charge output node, 1V=100%, 0V=0%
  14196. ; +-------+----------- +/- Cell connections (Floating)
  14197. ;
  14198. ; ----- Parameters -----
  14199. + PARAMS: CAPACITY=1, RESISTANCE=1
  14200. ; ^ ^
  14201. ; | |
  14202. ; | +----------- Cell resistance in ohms
  14203. ; +----------- Cell capacity in Amp-Hours, 1=1A-Hr, 0.5=0.5A-Hr
  14204. ; measured at 5 hour rate
  14205.  
  14206. *--------------------------------------------------------------------------------
  14207.  
  14208. * * DISCHARGE RATE CALCULATION * *
  14209. E_Rate RATE 0 VALUE={ I(V_Sense)/CAPACITY }
  14210. R2 RATE 60 1 ;R2-C2 provide 3 second delayed time constant
  14211. C1 60 0 3
  14212.  
  14213. * * LOW RATE ADDITIONAL ENERGY LOOK-UP TABLE AND TRANSFER * *
  14214. E_LowRate LowRate 0 TABLE { V(RATE) }=(0,0) (0.001,0.15) (0.1,0.1) (0.2,0)
  14215. R3 LowRate 0 1G
  14216. G_LowRate 0 50 VALUE={ V(LowRate)*I(V_Sense) }
  14217.  
  14218. * * DISCHARGE AND STATE OF CHARGE * *
  14219. G_Discharge SOC 0 VALUE={ I(V_Sense) } ; Discharge Current
  14220.  
  14221. * * LOST CAPACITY DURING FAST DISCHARGE DELAYED BY R2-C1 * *
  14222. E_LostRate 50 SOC TABLE { V(60) }=(0.2,0.0) (1.0,0.15) (5,0.2)
  14223.  
  14224. * * AMP-HOUR CAPACITY OF BATTERY * *
  14225. C_CellCapacity 50 0 { 3600 * CAPACITY * 1.01 }
  14226. R1 50 0 1G
  14227.  
  14228. * * CELL RESISTANCE * *
  14229. R_Cell 20 30 { RESISTANCE }
  14230.  
  14231. * * CELL OUTPUT CURRENT SENSE * *
  14232. V_Sense -OUTPUT 30 0
  14233.  
  14234. * * CELL OUTPUT VOLTAGE VS STATE OF CHARGE * *
  14235. E_Invert Invert 0 TABLE { V(SOC) }=(0,1) (1,0)
  14236. R4 Invert 0 1G
  14237.  
  14238. E_Cell +OUTPUT 20 TABLE { V(Invert) }=
  14239. +(0.0000E+00 1.3346E+00) (7.0989E-03 1.3244E+00) (1.6327E-02 1.3144E+00)
  14240. +(2.9283E-02 1.3042E+00) (4.2593E-02 1.2942E+00) (6.8859E-02 1.2841E+00)
  14241. +(1.3008E-01 1.2733E+00) (4.3605E-01 1.2633E+00) (5.1165E-01 1.2532E+00)
  14242. +(5.8033E-01 1.2432E+00) (6.4635E-01 1.2331E+00) (7.0190E-01 1.2231E+00)
  14243. +(7.5834E-01 1.2130E+00) (8.0324E-01 1.2030E+00) (8.3075E-01 1.1929E+00)
  14244. +(8.5116E-01 1.1828E+00) (8.6820E-01 1.1727E+00) (8.8310E-01 1.1627E+00)
  14245. +(8.9641E-01 1.1527E+00) (9.0848E-01 1.1425E+00) (9.1860E-01 1.1324E+00)
  14246. +(9.2730E-01 1.1223E+00) (9.3475E-01 1.1122E+00) (9.4167E-01 1.1021E+00)
  14247. +(9.4841E-01 1.0919E+00) (9.5480E-01 1.0817E+00) (9.6013E-01 1.0716E+00)
  14248. +(9.6439E-01 1.0615E+00) (9.6776E-01 1.0515E+00) (9.7060E-01 1.0407E+00)
  14249. +(9.7291E-01 1.0299E+00) (9.7486E-01 1.0190E+00) (9.7663E-01 1.0080E+00)
  14250. +(9.7823E-01 9.9782E-01) (9.8001E-01 9.8706E-01) (9.8196E-01 9.7630E-01)
  14251. +(9.8391E-01 9.6612E-01) (9.8586E-01 9.5606E-01) (9.8799E-01 9.4542E-01)
  14252. +(9.9012E-01 9.3524E-01) (9.9225E-01 9.2518E-01) (9.9420E-01 9.1498E-01)
  14253. +(9.9580E-01 9.0400E-01) (9.9687E-01 8.9186E-01) (9.9740E-01 8.7990E-01)
  14254. +(9.9775E-01 8.6280E-01) (9.9793E-01 8.4818E-01) (9.9811E-01 8.2718E-01)
  14255. +(9.9828E-01 7.9518E-01) (9.9846E-01 7.4066E-01) (9.9864E-01 6.4712E-01)
  14256. +(9.9882E-01 5.1380E-01) (9.9899E-01 3.3476E-01) (1.0000E+00 0.0000E+00)
  14257. .ENDS
  14258.  
  14259.  
  14260. * THIS MODEL IS APPLICABLE FOR TLV3491,TLV3492 & TLV3494
  14261. *
  14262. * BEGIN NOTES
  14263. * FOR ACCURATE INPUT BIAS
  14264. * CURRENTS, USE GMIN=1E-13
  14265. * MODEL TEMPERATURE RANGE IS
  14266. * -40 C TO +125 C, NOT ALL
  14267. * PARAMETERS TRACK THOSE OF
  14268. * THE REAL PART VS TEMPERATURE
  14269. * END NOTES
  14270. * BEGIN FEATURES
  14271. * OFFSET CHANGE AT TRANSITION
  14272. * WHEN CMV NEAR POSITIVE RAIL
  14273. * INPUT BIAS CURRENT
  14274. * INPUT CAPACITANCE
  14275. * INPUT COMMON MODE VOLTAGE RANGE
  14276. * INPUT CLAMPS TO RAILS
  14277. * CMRR WITH FREQUENCY EFFECTS
  14278. * PSRR WITH FREQUENCY EFFECTS
  14279. * OUTPUT TRANSISTION TIME
  14280. * QUIESCENT CURRENT
  14281. * QUIESCENT CURRENT VS VOLTAGE
  14282. * QUIESCENT CURRENT VS TEMPERATURE
  14283. * RAIL TO RAIL OUTPUT STAGE
  14284. * HIGH CLOAD EFFECTS
  14285. * OUTPUT CURRENT THROUGH SUPPLIES
  14286. * OUTPUT CURRENT LIMITING
  14287. * OUTPUT CLAMPS TO RAILS
  14288. * OUTPUT SWING VS OUTPUT CURRENT
  14289. * END FEATURES
  14290. * BEGIN MODEL TLV3491
  14291. * PINOUT IS FOR SOT23-5 PACKAGE
  14292. * PINOUT ORDER +IN -IN +V -V OUT
  14293. * PINOUT 3 4 5 2 1
  14294. *****************************************************************************
  14295. .SUBCKT TLV3491 3 4 5 2 1
  14296. R81 6 5 0.5
  14297. R82 2 7 0.5
  14298. R84 8 9 2.47E3
  14299. R85 10 11 0.5
  14300. R86 12 13 0.5
  14301. D21 1 5 DD
  14302. D22 2 1 DD
  14303. E25 12 0 2 0 1
  14304. E26 11 0 5 0 1
  14305. R96 20 17 100
  14306. R97 21 15 100
  14307. C32 9 1 8E-15
  14308. C36 1 0 0.1E-12
  14309. I28 18 19 0.5E-6
  14310. R5 1 16 17
  14311. R6 14 1 27
  14312. G16 22 23 8 23 -1E-3
  14313. G17 23 24 8 23 1E-3
  14314. G18 23 25 19 12 1E-3
  14315. G19 26 23 11 18 1E-3
  14316. D31 26 22 DD
  14317. D32 24 25 DD
  14318. M24 14 15 7 7 NOUT L=3U W=6000U
  14319. M25 16 17 6 6 POUT L=3U W=6000U
  14320. M26 18 18 10 10 POUT L=3U W=6000U
  14321. M29 19 19 13 13 NOUT L=3U W=6000U
  14322. R10 22 26 100E6
  14323. R11 25 24 100E6
  14324. R12 26 11 1E3
  14325. R13 12 25 1E3
  14326. E39 11 20 11 26 1
  14327. E40 21 12 25 12 1
  14328. R14 24 23 1E6
  14329. R15 25 23 1E6
  14330. R16 23 26 1E6
  14331. R17 23 22 1E6
  14332. R18 2 5 200E6
  14333. G20 5 2 27 0 -0.6E-6
  14334. D33 28 0 DD
  14335. V33 28 27 0.6
  14336. R19 0 27 1E6
  14337. I31 5 2 0.73E-6
  14338. I34 0 28 0.2E-6
  14339. E44 23 12 11 12 0.5
  14340. R20 29 30 1.2E6
  14341. D34 31 29 DD
  14342. D35 29 32 DD
  14343. V45 32 33 0.3
  14344. V46 34 31 0.3
  14345. C42 8 23 0.02E-12
  14346. R21 29 8 1.1E6
  14347. E45 34 23 35 0 1
  14348. E46 33 23 35 0 -1
  14349. D36 36 0 DD
  14350. I35 0 36 1.5E-6
  14351. V47 36 35 0.487
  14352. R22 0 35 1E9
  14353. D37 37 38 DD
  14354. D38 39 37 DD
  14355. G21 37 23 40 41 -0.2E-3
  14356. R23 23 37 3.5E6
  14357. C43 37 23 9E-12
  14358. M30 30 37 38 38 POUT L=3U W=30U
  14359. M31 30 37 39 39 NOUT L=3U W=30U
  14360. G22 37 23 42 43 -0.2E-3
  14361. R24 44 45 2
  14362. R25 46 45 2
  14363. E47 47 0 11 0 1
  14364. E48 48 0 12 0 1
  14365. E49 49 0 50 0 1
  14366. R26 47 51 1E6
  14367. R27 48 52 1E6
  14368. R28 49 53 1E6
  14369. R29 0 51 100
  14370. R30 0 52 100
  14371. R31 0 53 100
  14372. E50 54 3 53 0 -0.95
  14373. R32 55 50 1E3
  14374. R33 50 56 1E3
  14375. C44 47 51 5E-12
  14376. C45 48 52 5E-12
  14377. C46 49 53 2E-12
  14378. E51 57 54 52 0 0.25
  14379. E52 58 57 51 0 0.25
  14380. M32 41 59 44 44 PIN L=3U W=20U
  14381. M33 40 4 46 46 PIN L=3U W=20U
  14382. R34 12 41 25E3
  14383. R35 12 40 25E3
  14384. C47 41 40 8E-12
  14385. C48 58 0 2E-12
  14386. C49 4 0 2E-12
  14387. V48 58 59 0
  14388. M34 43 60 61 61 NIN L=3U W=20U
  14389. R36 62 61 2
  14390. M35 42 4 63 63 NIN L=3U W=20U
  14391. R37 62 63 2
  14392. R38 43 11 25E3
  14393. R39 42 11 25E3
  14394. C50 43 42 8E-12
  14395. V49 59 60 1E-3
  14396. M36 64 65 66 66 POUT L=6U W=500U
  14397. M37 67 68 11 11 PIN L=6U W=500U
  14398. V50 11 65 1.25
  14399. M38 62 64 12 12 NIN L=6U W=500U
  14400. M39 64 64 12 12 NIN L=6U W=500U
  14401. E53 56 0 58 0 1
  14402. E54 55 0 4 0 1
  14403. M40 68 68 11 11 PIN L=6U W=500U
  14404. I36 68 12 1E-6
  14405. V51 67 45 0
  14406. J6 69 58 69 JC
  14407. J7 69 4 69 JC
  14408. J8 4 70 4 JC
  14409. J9 58 70 58 JC
  14410. C51 58 4 4E-12
  14411. I37 58 0 1E-12
  14412. I38 4 0 1E-12
  14413. R140 66 67 1E3
  14414. V53 11 69 0.1
  14415. V54 70 12 0.1
  14416. V55 38 23 2.5
  14417. V56 39 23 -2.5
  14418. .MODEL DD D
  14419. .MODEL JC NJF IS=1E-18
  14420. .MODEL PIN PMOS KP=200U VTO=-0.7
  14421. .MODEL NIN NMOS KP=200U VTO=0.7
  14422. .MODEL POUT PMOS KP=200U VTO=-0.7 LAMBDA=0.01
  14423. .MODEL NOUT NMOS KP=200U VTO=0.7 LAMBDA=0.01
  14424. .ENDS
  14425. * END MODEL TLV3491
  14426.  
  14427.  
  14428. * THIS MODEL IS APPLICABLE FOR TLV3501 & TLV3502
  14429. *
  14430. *****************************************************************************
  14431. * BEGIN MODEL TLV3501
  14432. * BEGIN NOTES
  14433. * MODEL FEATURES INCLUDE OUTPUT SWING,
  14434. * OUTPUT CURRENT THRU THE SUPPLY RAILS,
  14435. * TPD VS CLOAD, TPD VS DRIVE LEVEL,
  14436. * TPD VS SUPPLY VOLTAGE, RISE AND FALL
  14437. * TIME, INPUT CAPACITANCE, INPUT BIAS
  14438. * CURRENT, INPUT COMMON MODE VOTAGE
  14439. * RANGE, INPUT HYSTERESIS, HIGH CLOAD
  14440. * EFFECTS, OUTPUT CLAMP DIODES,
  14441. * QUIESCENT SUPPLY VS SUPPLY VOLTAGE,
  14442. * QUIESCENT CURRENT AT SHUTDOWN,
  14443. * SHUTDOWN THRESHOLD, ENABLE TIME,
  14444. * AND DISABLE TIME.
  14445. * MODEL TEMP RANGE IS -40 TO +125 DEG C.
  14446. * NOTE THAT MODEL IS FUNCTIONAL OVER
  14447. * THIS RANGE BUT NOT ALL PARAMETERS
  14448. * TRACK THOSE OF THE REAL PART.
  14449. * END NOTES
  14450. * PINOUT ORDER +IN -IN +V -V OUT EN
  14451. * PINOUT ORDER 3 1 4 2 5 6
  14452. .SUBCKT TLV3501 3 1 4 2 5 6
  14453. M12 7 8 4 4 MCPO
  14454. M13 7 8 2 2 MCNO
  14455. E1 9 10 11 12 1
  14456. E2 10 13 14 13 0.5
  14457. R1 0 10 1E9
  14458. R2 0 10 1E9
  14459. C1 8 15 0.53E-12
  14460. R3 8 9 800
  14461. E3 15 13 7 13 1
  14462. R5 15 8 1E5
  14463. M1 11 3 16 16 MCI
  14464. M2 17 1 16 16 MCI
  14465. I1 16 13 50E-6
  14466. M4 17 17 18 18 MCP
  14467. M3 11 11 18 18 MCP
  14468. R6 17 11 1E7
  14469. M5 19 17 18 18 MCP
  14470. M6 12 11 18 18 MCP
  14471. M7 19 19 20 20 MCN
  14472. M8 19 12 20 20 MCC
  14473. M9 12 19 20 20 MCC
  14474. M10 12 12 20 20 MCN
  14475. M11 20 20 13 13 MCN
  14476. R8 21 7 18
  14477. C3 12 11 0.01F
  14478. C4 11 17 110E-15
  14479. E4 22 0 3 0 1
  14480. E5 23 0 1 0 1
  14481. R9 24 23 1E3
  14482. R10 25 22 1E3
  14483. M14 26 25 13 13 MCNV
  14484. M15 27 25 14 14 MCPV
  14485. R11 26 14 1E6
  14486. R12 13 27 1E6
  14487. E6 18 14 28 14 1
  14488. V28 28 2 6.5
  14489. M16 29 26 13 13 MCN
  14490. M17 29 26 14 14 MCP
  14491. M18 8 29 14 14 MCPO
  14492. M19 8 27 14 14 MCPO
  14493. M20 30 24 13 13 MCNV
  14494. M21 31 24 14 14 MCPV
  14495. R15 30 14 1E6
  14496. R16 13 31 1E6
  14497. M22 32 30 13 13 MCN
  14498. M23 32 30 14 14 MCP
  14499. M24 8 32 14 14 MCPO
  14500. M25 8 31 14 14 MCPO
  14501. E8 33 5 34 0 -10
  14502. E9 35 21 34 0 10
  14503. M26 21 36 5 37 MNSW
  14504. M27 5 38 21 39 MPSW
  14505. R17 0 39 1E12
  14506. R18 37 0 1E12
  14507. V29 36 33 5
  14508. V30 38 35 -5
  14509. R19 0 35 1E12
  14510. R20 0 33 1E12
  14511. E10 14 0 4 0 1
  14512. M28 8 40 14 14 MCPO
  14513. M29 40 34 0 0 MCNS
  14514. R21 40 14 1E6
  14515. M45 41 42 0 0 NEN L=3U W=3000U
  14516. R133 41 43 1E6
  14517. V52 43 0 1
  14518. C27 6 0 1E-12
  14519. V53 41 44 1.111E-6
  14520. R134 0 44 1E12
  14521. C34 43 41 1E-18
  14522. M50 45 46 0 0 NEN L=3U W=300U
  14523. M51 47 45 0 0 NEN L=3U W=300U
  14524. R299 45 43 1E4
  14525. R300 47 43 1E4
  14526. C36 43 45 2.1E-12
  14527. C106 43 47 14E-12
  14528. M47 46 6 14 14 PEN L=6U W=60U
  14529. R301 0 46 1E4
  14530. C107 5 0 0.5E-12
  14531. R302 48 34 1E3
  14532. C108 34 0 2E-12
  14533. E11 48 0 44 0 1
  14534. E12 13 0 2 0 1
  14535. M52 49 50 2 2 MNIQ
  14536. R303 49 4 28E3
  14537. G1 4 2 51 0 3.05E-3
  14538. V55 52 48 -1
  14539. E13 53 0 52 0 -1
  14540. R304 0 52 1E12
  14541. R305 0 52 1E12
  14542. R306 0 48 1E12
  14543. R307 0 48 1E12
  14544. V56 53 51 3.111E-6
  14545. R308 0 51 1E12
  14546. R309 0 53 1E12
  14547. I2 4 2 2E-6
  14548. D1 54 0 DD
  14549. I3 0 54 1E-3
  14550. V57 54 55 0.65
  14551. R310 0 55 1E6
  14552. E14 42 47 55 0 0.9
  14553. R311 47 42 1E6
  14554. R312 13 16 1E12
  14555. C109 16 13 1E-16
  14556. C110 3 0 2E-12
  14557. C111 1 0 2E-12
  14558. I4 3 0 2E-12
  14559. I5 1 0 2E-12
  14560. R313 0 6 1E12
  14561. E15 50 2 51 0 1
  14562. D2 5 4 DC
  14563. D3 2 5 DC
  14564. R314 0 50 1E12
  14565. .MODEL DD D
  14566. .MODEL DC D RS=10
  14567. .MODEL MCI NMOS KP=8600U VTO=2
  14568. .MODEL MCC NMOS KP=215U VTO=2
  14569. .MODEL MCN NMOS KP=200U VTO=2
  14570. .MODEL MCP PMOS KP=200U VTO=-2
  14571. .MODEL MCNV NMOS KP=2000U VTO=-0.27
  14572. .MODEL MCPV PMOS KP=2000U VTO=0.28
  14573. .MODEL MCNO NMOS KP=35000U VTO=2
  14574. .MODEL MCPO PMOS KP=35000U VTO=-2
  14575. .MODEL MNSW NMOS KP=35000U VTO=2.5 IS=1E-18
  14576. .MODEL MPSW PMOS KP=35000U VTO=-2.5 IS=1E-18
  14577. .MODEL MCNS NMOS KP=200U VTO=0.5
  14578. .MODEL NEN NMOS KP=200U VTO=0.5 IS=1E-18
  14579. .MODEL PEN PMOS KP=200U VTO=-1.2 IS=1E-18
  14580. .MODEL MNIQ NMOS KP=35000U VTO=0.5 IS=1E-18
  14581. .ENDS
  14582.  
  14583. * Copyright 2009 Avago Technologies Limited. All Right Reserved
  14584. *
  14585. * ACPL-C87A and ACPL-C87B PSpice Macromodel
  14586. *
  14587. * Rev 1.0 03/10/2011
  14588. * - SPICE Model is verified by LT Spice at Ta=25^C. Compatible to PSPICE.
  14589. * - Macro model performance matches the typical datasheet specifications.
  14590. * - Worst case performance are not modeled.
  14591. *
  14592. * Macromodels provided by Avago Technologies are not warranted
  14593. * as fully representing all of the specification and operating
  14594. * characteristics of the product.
  14595. *
  14596. * Macromodels are useful for evaluating product performance but they
  14597. * cannot model exact device performance under all condition, nor are
  14598. * they intented to replace breadboarding for final verification.
  14599. *
  14600. *
  14601. **********************************************************************
  14602. * block symbol definitions
  14603. .subckt acpl-c87at vdd1 vin sd gnd1 gnd2 von vop vdd2
  14604. V2 N011 0 1.25
  14605. D2 VL N017 DLIM
  14606. V3 VH gnd2 2.4
  14607. G1 N011 N003 vin gnd1 5E-5
  14608. D1 N003 VH DLIM
  14609. R1 N003 N011 10.25k
  14610. R2 N011 N017 10.25k
  14611. V4 VL gnd2 0.1
  14612. G2 N017 N011 vin gnd1 5E-5
  14613. E1 N004 N009 N003 N011 1
  14614. E2 N014 N018 N011 N017 1
  14615. R3 vop N004 32
  14616. R4 von N018 32
  14617. D3 N001 N002 D
  14618. D4 N002 gnd2 D
  14619. R5 vdd2 N001 100k
  14620. G3 vdd2 gnd2 N001 gnd2 6E-3
  14621. C1 N003 N011 1000p
  14622. C2 N011 N017 1000p
  14623. D7 N004 vdd2 D
  14624. D8 gnd2 N018 D
  14625. R7 sd gnd1 10meg
  14626. M1 N005 sd vdd1 vdd1 PMOS1 W=50u L=5u
  14627. D5 N012 gnd1 DSEN
  14628. D6 N006 N012 DSEN
  14629. R6 N005 N006 10k
  14630. G4 N010 gnd1 N006 gnd1 100E-3
  14631. D9 gnd1 N010 D
  14632. M4 N010 sd vdd1 vdd1 PMOS1 W=50u L=0.5u
  14633. M5 N003 N008 N011 N011 NMOS1 W=2u L=0.6u
  14634. M6 N011 N015 N017 N017 NMOS1 W=2u L=0.6u
  14635. E3 N008 N011 sd gnd1 1
  14636. E4 N015 N017 sd gnd1 1
  14637. M2 N011 N007 N003 N003 PMOS1 W=50u L=0.5u
  14638. M3 N017 N013 N011 N011 PMOS1 W=50u L=0.5u
  14639. E5 N003 N007 I5V vdd1 1
  14640. E6 N011 N013 I5V vdd1 1
  14641. V1 I5V gnd1 5
  14642. M7 N019 vdd1 gnd1 gnd1 NMOS1 W=200u L=0.6u
  14643. M8 no_light vdd1 I5V I5V PMOS1 W=50u L=0.5u
  14644. M9 N016 sd gnd1 gnd1 NMOS1 W=20u L=0.6u
  14645. M10 N016 sd I5V I5V PMOS1 W=50u L=0.5u
  14646. M11 no_light N016 I5V I5V PMOS1 W=50u L=0.5u
  14647. M12 no_light N016 N019 N019 NMOS1 W=200u L=0.6u
  14648. E7 N011 N009 no_light gnd1 0.25
  14649. E8 N014 N011 no_light gnd1 0.25
  14650. .model DLIM D is=100n
  14651. .MODEL PMOS1 PMOS LEVEL=3 L=5.5000E-7 W=2E-6 RS=10.000E-3 RD=10.000E-3
  14652. + VTO=-9.54E-1 RDS=1.0000E6 TOX=1.24E-8 CGSO=2.01E-10 CGDO=2.01E-10 CBD=0
  14653. + RG=5 RB=1m GAMMA=0 KAPPA=0 UO=215
  14654. .MODEL NMOS1 NMOS LEVEL=3 L=5.0000E-7 W=2u RS=10m RD=10m
  14655. + VTO=7.55E-1 RDS=1.0000E6 TOX=1.25E-8 CGSO=1.83E-10 CGDO=1.83E-10
  14656. + CBD=1p RG=5 RB=1m GAMMA=0 KAPPA=0 UO=400
  14657. .model DSEN D is=100u
  14658. .ends acpl-c87at
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement