Advertisement
Guest User

Untitled

a guest
Mar 8th, 2019
63
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 4.11 KB | None | 0 0
  1. --
  2. -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
  3. --
  4. -- This file contains confidential and proprietary information
  5. -- of Xilinx, Inc. and is protected under U.S. and
  6. -- international copyright and other intellectual property
  7. -- laws.
  8. --
  9. -- DISCLAIMER
  10. -- This disclaimer is not a license and does not grant any
  11. -- rights to the materials distributed herewith. Except as
  12. -- otherwise provided in a valid license issued to you by
  13. -- Xilinx, and to the maximum extent permitted by applicable
  14. -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
  15. -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
  16. -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
  17. -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
  18. -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
  19. -- (2) Xilinx shall not be liable (whether in contract or tort,
  20. -- including negligence, or under any other theory of
  21. -- liability) for any loss or damage of any kind or nature
  22. -- related to, arising under or in connection with these
  23. -- materials, including for any direct, or any indirect,
  24. -- special, incidental, or consequential loss or damage
  25. -- (including loss of data, profits, goodwill, or any type of
  26. -- loss or damage suffered as a result of any action brought
  27. -- by a third party) even if such damage or loss was
  28. -- reasonably foreseeable or Xilinx had been advised of the
  29. -- possibility of the same.
  30. --
  31. -- CRITICAL APPLICATIONS
  32. -- Xilinx products are not designed or intended to be fail-
  33. -- safe, or for use in any application requiring fail-safe
  34. -- performance, such as life-support or safety devices or
  35. -- systems, Class III medical devices, nuclear facilities,
  36. -- applications related to the deployment of airbags, or any
  37. -- other applications that could lead to death, personal
  38. -- injury, or severe property or environmental damage
  39. -- (individually and collectively, "Critical
  40. -- Applications"). Customer assumes the sole risk and
  41. -- liability of any use of Xilinx products in Critical
  42. -- Applications, subject only to applicable laws and
  43. -- regulations governing limitations on product liability.
  44. --
  45. -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
  46. -- PART OF THIS FILE AT ALL TIMES.
  47. --
  48. ------------------------------------------------------------------------------
  49. -- User entered comments
  50. ------------------------------------------------------------------------------
  51. -- None
  52. --
  53. ------------------------------------------------------------------------------
  54. -- "Output    Output      Phase     Duty      Pk-to-Pk        Phase"
  55. -- "Clock    Freq (MHz) (degrees) Cycle (%) Jitter (ps)  Error (ps)"
  56. ------------------------------------------------------------------------------
  57. -- CLK_OUT1___100.000______0.000______50.0______200.000____150.000
  58. -- CLK_OUT2____80.000______0.000______50.0______450.000____150.000
  59. --
  60. ------------------------------------------------------------------------------
  61. -- "Input Clock   Freq (MHz)    Input Jitter (UI)"
  62. ------------------------------------------------------------------------------
  63. -- __primary_________100.000____________0.010
  64.  
  65.  
  66. -- The following code must appear in the VHDL architecture header:
  67. ------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
  68. component core
  69. port
  70.  (-- Clock in ports
  71.   CLK_IN1           : in     std_logic;
  72.   -- Clock out ports
  73.   CLK_OUT1          : out    std_logic;
  74.   CLK_OUT2          : out    std_logic;
  75.   -- Status and control signals
  76.   RESET             : in     std_logic;
  77.   LOCKED            : out    std_logic
  78.  );
  79. end component;
  80.  
  81. -- COMP_TAG_END ------ End COMPONENT Declaration ------------
  82. -- The following code must appear in the VHDL architecture
  83. -- body. Substitute your own instance name and net names.
  84. ------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
  85. your_instance_name : core
  86.   port map
  87.    (-- Clock in ports
  88.     CLK_IN1 => CLK_IN1,
  89.     -- Clock out ports
  90.     CLK_OUT1 => CLK_OUT1,
  91.     CLK_OUT2 => CLK_OUT2,
  92.     -- Status and control signals
  93.     RESET  => RESET,
  94.     LOCKED => LOCKED);
  95. -- INST_TAG_END ------ End INSTANTIATION Template ------------
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement