Advertisement
Sidsh

monitor.sv

Oct 29th, 2022 (edited)
1,041
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. class our_monitor extends uvm_monitor;
  2.     `uvm_component_utils(our_monitor)
  3.  
  4.     our_interface intf;     //instantiated the interface
  5.    
  6.     uvm_analysis_port #(our_sequence_item) mon_port;    //instantiated the monitor port
  7. //build phase
  8.     //build other components
  9.         uvm_config_db #(virtual our_interface) :: get(null, " * ", "intf", intf);               //the GET method
  10.  
  11.             mon_port = new ("Monitor Port", this);      //created the monitor port
  12.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement