Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- -------------- guess_game2 --------------
- library ieee;
- use ieee.std_logic_1164.all;
- entity guess_game2 is
- port(
- inputs : in std_logic_vector(7 downto 0);
- set : in std_logic; -- Set predefined value
- show : in std_logic; -- Show predefined value
- try : in std_logic; -- Evaluate guess
- player : in std_logic; -- active player
- hex1 : out std_logic_vector(6 downto 0); -- 7-seg ones
- hex10 : out std_logic_vector(6 downto 0); -- 7-seg tens
- hex_p : out std_logic_vector(6 downto 0)); -- t-seg player
- end guess_game2;
- architecture structural of guess_game2 is -- signaler til de forskellige entities (player 1 & 2)
- signal inputs1 : std_logic_vector(7 downto 0);
- signal set1 : std_logic;
- signal show1 : std_logic;
- signal try1 : std_logic;
- signal hex1_1 : std_logic_vector(6 downto 0);
- signal hex10_1 : std_logic_vector(6 downto 0);
- signal inputs2 : std_logic_vector(7 downto 0);
- signal set2 : std_logic;
- signal show2 : std_logic;
- signal try2 : std_logic;
- signal hex1_2 : std_logic_vector(6 downto 0);
- signal hex10_2 : std_logic_vector(6 downto 0);
- begin
- HEX_player: entity work.bin2hex -- Display til at vise aktiv spiller
- port map(
- bin => "000" & player, -- bin er 4 bit vector, player er std_logic. Vi and'er 3 ekstra 0-bit, så vi altid har 0 eller 1.
- seg => hex_p);
- mux_input: process(player, inputs, set, show, try)
- begin
- if(player = '0') then -- spiller 1 er aktiv; signaler modtager inputs
- inputs1 <= inputs;
- set1 <= set;
- show1 <= show;
- try1 <= try;
- elsif(player = '1') then -- spiller 2 er aktiv; signaler modtager inputs
- inputs2 <= inputs;
- set2 <= set;
- show2 <= show;
- try2 <= try;
- end if;
- end process mux_input;
- Player_1: entity work.guess_game -- forbindelser forbindes i guess_game entity. Denne er for spiller 1.
- port map(
- inputs => inputs1,
- set => set1,
- show => show1,
- try => try1,
- hex1 => hex1_1,
- hex10 => hex10_1);
- Player_2: entity work.guess_game -- forbindelser forbindes i guess_game entity. Denne er for spiller 2.
- port map(
- inputs => inputs2,
- set => set2,
- show => show2,
- try => try2,
- hex1 => hex1_2,
- hex10 => hex10_2);
- mux_hex: process(player, inputs, set, show, try) -- displays aktiveres efter aktiv player.
- begin
- if(player = '0') then -- spiller 1 er aktiv
- hex1 <= hex1_1;
- hex10 <= hex10_1;
- elsif(player = '1') then -- spiller 2 er aktiv
- hex1 <= hex1_2;
- hex10 <= hex10_2;
- end if;
- end process mux_hex;
- end structural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement