Advertisement
Guest User

Untitled

a guest
Jan 22nd, 2019
59
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.78 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. entity generic_divider is
  5. GENERIC
  6. (
  7.     N   :   INTEGER :=  50_000_000
  8. );
  9. PORT
  10. (
  11.     clk_in  :   IN  STD_LOGIC;
  12.     clk_out :   OUT STD_LOGIC
  13. );
  14. end generic_divider;
  15.  
  16. architecture Behavioral of generic_divider is
  17.     signal clk_t    :   STD_LOGIC;
  18. begin
  19.  
  20. PROCESS(clk_in)
  21.     variable temp   :   INTEGER RANGE 0 TO N;
  22. BEGIN
  23.    
  24.     IF(clk_in'event and clk_in='1') THEN                                --TO DO 1   -> detektirati rastući brid signala takta clk_in
  25.         temp:=temp+1;                                   --TO DO 2   -> varijablu temp uvećati za 1
  26.         IF(temp >= N) THEN
  27.             clk_t<=not clk_t;                               --TO DO 3   -> invertirati signal clk_t
  28.             temp := 0;
  29.         END IF;
  30.     END IF;
  31.    
  32. END PROCESS;
  33.  
  34. clk_out <= clk_t;                                           --TO DO 4   ->  izlaznom signalu clk_out pridružiti vrijednost signala clk_t
  35.  
  36. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement