Advertisement
krowka

testvhdl

Jan 23rd, 2019
601
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.41 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.all;
  3.  
  4. entity vhdl_test is
  5.     port(
  6.     a,b:        in std_logic;
  7.     in1, in2:   out std_logic;
  8.     y_and:      out std_logic;
  9.     y_or:       out std_logic;
  10.     y_xor:      out std_logic
  11.     );
  12. end vhdl_test;
  13.  
  14. architecture arch1 of vhdl_test is
  15. begin
  16.      in1 <= a;
  17.      in2 <= b;
  18.  
  19.      y_and <= not ((not a) and (not b));
  20.      y_or  <= not ((not a) or (not b));
  21.      y_xor <= not ((not a) xor (not b));
  22. end arch1;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement