Advertisement
Guest User

logic_tb.vhdl

a guest
Oct 12th, 2017
57
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 4.51 KB | None | 0 0
  1. -- code testing 'logic'
  2. library ieee;
  3. use ieee.std_logic_1164.all;
  4.  
  5. --Description interface the system. As this is a test file,
  6. --the system interface is always empty
  7. entity logic_tb is
  8.  
  9. end logic_tb;
  10.  
  11. --Description behavior
  12. architecture logic_behavior_test of logic_tb is
  13.  
  14.   --Description of test component
  15.   component logic
  16.     port (
  17.       I1: in std_ulogic; --first_input
  18.       I2: in std_ulogic; --second_input
  19.       I3: in std_ulogic; --second_input
  20.       I4: in std_ulogic; --second_input
  21.       I5: in std_ulogic; --second_input
  22.       Q1: out std_ulogic; --direct outputs
  23.       Q2: out std_ulogic; --inverse outputs
  24.       Q3: out std_ulogic; --inverse outputs
  25.       Q4: out std_ulogic; --inverse outputs
  26.       TT2: out std_ulogic --inverse outputs
  27.     );
  28.   end component;
  29.   --reservation of all used signals
  30.   signal I1, I2, I3, I4, I5, Q1, Q2, Q3, Q4, TT2: std_ulogic;
  31.  
  32. begin
  33.   --mapping signal with interface system
  34.   half_adder: logic port map(I1 => I1, I2 => I2, I3 => I3, I4 => I4, I5 => I5, Q1 => Q1, Q2 => Q2, Q3 => Q3, Q4 => Q4, TT2 => TT2);
  35.  
  36.   --process testing
  37.   process begin    
  38.    
  39.     I1 <= '0';
  40.     I2 <= '0';
  41.     I3 <= '0';
  42.     I4 <= '0';
  43.     I5 <= '0';
  44.     TT2 <= '0';
  45.     wait for 20000 ms;
  46.  
  47.     I1 <= '0';
  48.     I2 <= '0';
  49.     I3 <= '0';
  50.     I4 <= '0';
  51.     I5 <= '1';
  52.     wait for 20000 ms;
  53.  
  54.     I1 <= '0';
  55.     I2 <= '0';
  56.     I3 <= '0';
  57.     I4 <= '1';
  58.     I5 <= '0';
  59.     wait for 20000 ms;
  60.  
  61.     I1 <= '0';
  62.     I2 <= '0';
  63.     I3 <= '0';
  64.     I4 <= '1';
  65.     I5 <= '1';
  66.     wait for 20000 ms;
  67.  
  68.     I1 <= '0';
  69.     I2 <= '0';
  70.     I3 <= '1';
  71.     I4 <= '0';
  72.     I5 <= '0';
  73.     wait for 20000 ms;
  74.  
  75.     I1 <= '0';
  76.     I2 <= '0';
  77.     I3 <= '1';
  78.     I4 <= '0';
  79.     I5 <= '1';
  80.     wait for 20000 ms;
  81.  
  82.     I1 <= '0';
  83.     I2 <= '0';
  84.     I3 <= '1';
  85.     I4 <= '1';
  86.     I5 <= '0';
  87.     wait for 20000 ms;
  88.  
  89.     I1 <= '0';
  90.     I2 <= '0';
  91.     I3 <= '1';
  92.     I4 <= '1';
  93.     I5 <= '1';
  94.     wait for 20000 ms;
  95.  
  96.     I1 <= '0';
  97.     I2 <= '1';
  98.     I3 <= '0';
  99.     I4 <= '0';
  100.     I5 <= '0';
  101.     wait for 20000 ms;
  102.  
  103.     I1 <= '0';
  104.     I2 <= '1';
  105.     I3 <= '0';
  106.     I4 <= '0';
  107.     I5 <= '1';
  108.     wait for 20000 ms;
  109.  
  110.     I1 <= '0';
  111.     I2 <= '1';
  112.     I3 <= '0';
  113.     I4 <= '1';
  114.     I5 <= '0';
  115.     wait for 20000 ms;
  116.  
  117.     I1 <= '0';
  118.     I2 <= '1';
  119.     I3 <= '0';
  120.     I4 <= '1';
  121.     I5 <= '1';
  122.     wait for 20000 ms;
  123.  
  124.     I1 <= '0';
  125.     I2 <= '1';
  126.     I3 <= '1';
  127.     I4 <= '0';
  128.     I5 <= '0';
  129.     wait for 20000 ms;
  130.  
  131.     I1 <= '0';
  132.     I2 <= '1';
  133.     I3 <= '1';
  134.     I4 <= '0';
  135.     I5 <= '1';
  136.     wait for 20000 ms;
  137.  
  138.     I1 <= '0';
  139.     I2 <= '1';
  140.     I3 <= '1';
  141.     I4 <= '1';
  142.     I5 <= '0';
  143.     wait for 20000 ms;
  144.  
  145.     I1 <= '0';
  146.     I2 <= '1';
  147.     I3 <= '1';
  148.     I4 <= '1';
  149.     I5 <= '1';
  150.     wait for 20000 ms;
  151.  
  152.     I1 <= '1';
  153.     I2 <= '0';
  154.     I3 <= '0';
  155.     I4 <= '0';
  156.     I5 <= '1';
  157.     wait for 20000 ms;
  158.  
  159.     I1 <= '1';
  160.     I2 <= '0';
  161.     I3 <= '0';
  162.     I4 <= '0';
  163.     I5 <= '1';
  164.     wait for 20000 ms;
  165.  
  166.     I1 <= '1';
  167.     I2 <= '0';
  168.     I3 <= '0';
  169.     I4 <= '1';
  170.     I5 <= '1';
  171.     wait for 20000 ms;
  172.  
  173.     I1 <= '1';
  174.     I2 <= '0';
  175.     I3 <= '0';
  176.     I4 <= '1';
  177.     I5 <= '1';
  178.     wait for 20000 ms;
  179.  
  180.     I1 <= '1';
  181.     I2 <= '0';
  182.     I3 <= '1';
  183.     I4 <= '0';
  184.     I5 <= '1';
  185.     wait for 20000 ms;
  186.  
  187.     I1 <= '1';
  188.     I2 <= '0';
  189.     I3 <= '1';
  190.     I4 <= '0';
  191.     I5 <= '1';
  192.     wait for 20000 ms;
  193.  
  194.     I1 <= '1';
  195.     I2 <= '0';
  196.     I3 <= '1';
  197.     I4 <= '1';
  198.     I5 <= '1';
  199.     wait for 20000 ms;
  200.  
  201.     I1 <= '1';
  202.     I2 <= '0';
  203.     I3 <= '1';
  204.     I4 <= '1';
  205.     I5 <= '1';
  206.     wait for 20000 ms;
  207.  
  208.     I1 <= '1';
  209.     I2 <= '1';
  210.     I3 <= '0';
  211.     I4 <= '0';
  212.     I5 <= '1';
  213.     wait for 20000 ms;
  214.  
  215.     I1 <= '1';
  216.     I2 <= '1';
  217.     I3 <= '0';
  218.     I4 <= '0';
  219.     I5 <= '1';
  220.     wait for 20000 ms;
  221.  
  222.     I1 <= '1';
  223.     I2 <= '1';
  224.     I3 <= '0';
  225.     I4 <= '1';
  226.     I5 <= '1';
  227.     wait for 20000 ms;
  228.  
  229.     I1 <= '1';
  230.     I2 <= '1';
  231.     I3 <= '0';
  232.     I4 <= '1';
  233.     I5 <= '1';
  234.     wait for 20000 ms;
  235.  
  236.     I1 <= '1';
  237.     I2 <= '1';
  238.     I3 <= '1';
  239.     I4 <= '0';
  240.     I5 <= '1';
  241.     wait for 20000 ms;
  242.  
  243.     I1 <= '1';
  244.     I2 <= '1';
  245.     I3 <= '1';
  246.     I4 <= '0';
  247.     I5 <= '1';
  248.     wait for 20000 ms;
  249.  
  250.     I1 <= '1';
  251.     I2 <= '1';
  252.     I3 <= '1';
  253.     I4 <= '1';
  254.     I5 <= '1';
  255.     wait for 20000 ms;
  256.  
  257.     I1 <= '1';
  258.     I2 <= '1';
  259.     I3 <= '1';
  260.     I4 <= '1';
  261.     I5 <= '1';
  262.     wait for 20000 ms;
  263.  
  264.    
  265.     wait;
  266.    
  267.   end process;
  268. end logic_behavior_test;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement