Advertisement
salla

conta_10s_estrutural

Jul 29th, 2019
482
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.85 KB | None | 0 0
  1. library IEEE;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity conta_10s is
  5. port (clock, reset: in std_logic;
  6.         HEX0: out std_logic_vector (0 to 6));
  7. end conta_10s;
  8.  
  9. architecture arquitetura of conta_10s is
  10.  
  11.     component display_vetores is
  12.     port (bcd: in std_logic_vector (3 downto 0);
  13.               HEX0: out std_logic_vector (0 to 6));
  14.     end component;
  15.  
  16.     component divisor_clk is
  17.     port (clk_in: in std_logic;
  18.               q: out std_logic);
  19.     end component;
  20.    
  21.     component conta_decada is
  22.     port (clk:in std_logic;
  23.          reset: in std_logic;
  24.          q: out std_logic_vector(3 downto 0));
  25.     end component;
  26.    
  27.     signal chupitilha: std_logic;
  28.     signal querrenca: std_logic_vector (3 downto 0);
  29.    
  30. begin
  31. talita: divisor_clk port map (clock, chupitilha);
  32. feliperenato: conta_decada port map (chupitilha, reset, querrenca);
  33. caleb: display_vetores port map (querrenca, HEX0);
  34.  
  35. end arquitetura;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement