Advertisement
Guest User

лампочка 1 сек

a guest
Nov 7th, 2018
99
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.52 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use IEEE.std_logic_arith.all;
  4.  
  5. entity lab72 is
  6.     Port (clk : in std_logic;
  7.         dout : out std_logic_vector(7 downto 0));
  8. end lab72;
  9.  
  10. architecture Behavioral of lab72 is
  11. signal cntr : std_logic_vector(26 downto 0):=(others => '0');
  12. signal sdout : std_logic_vector(7 downto 0):="00000000";
  13. begin
  14. process(clk) begin
  15.     if rising_edge(clk) then
  16.         cntr <= unsigned(cntr) +1;
  17.         sdout(0) <=  cntr(26);
  18.     end if;
  19. end process;
  20. dout <= sdout;
  21. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement