Advertisement
FaisalAhemdBijoy

testbench

Jun 1st, 2021
747
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
C 0.28 KB | None | 0 0
  1. module testbench(A,B,S,out);
  2.  
  3. input[15:0] A,B;
  4. output[15:0] out,S;
  5. reg[3:0] S;
  6. wire[15:0] out;
  7.  
  8. integer i;
  9.  
  10. alu_16mod mod(out,A,B,S);
  11. assign A = 8'b1111000011110000;
  12. assign B = 8'b0000111100001111;
  13.  
  14. initial
  15.  
  16. for (i=0;i<16;i=i+1)
  17.  
  18. begin
  19.  
  20. S=i;
  21.  
  22. end
  23.  
  24. endmodule
  25.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement