Advertisement
Sidsh

top.sv

Oct 29th, 2022 (edited)
1,078
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `include "uvm_macros.svh"   //file containing basic macros
  2. import uvm_pkg :: * ;       //factory package containing env, agent, driver etc (basic component classes)
  3.                             //and object items like sequence
  4.  
  5. module top();
  6.     our_interface intf ();  //instantiate our interface
  7.     our_design uut ();      //instantiate our design
  8.  
  9.     initial begin
  10.         uvm_config_db #(virtual our_interface) :: set (null, "" * "", " intf ", intf);  //the SET method
  11.     end
  12. endmodule
  13.  
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement