Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- LIBRARY ieee;
- use ieee.numeric_std.all;
- use IEEE.std_logic_1164.all;
- library work;
- use work.type_package.all;
- ENTITY experiment_module IS
- generic (
- max_experiment_repetition_counter_value : integer;
- max_step_counter_value : integer;
- max_initialization_repetition_counter_value : integer;
- max_photon_counter_value : integer;
- max_photon_counter_window_pulse_value : integer
- );
- PORT(
- rst : in std_logic;
- clk : in std_logic;
- experiment_repetition_counter_threshold : in integer range 0 to max_experiment_repetition_counter_value;
- initialization_repetition_counter_threshold : in integer range 0 to max_initialization_repetition_counter_value;
- step_counter_threshold_initialization : in integer range 0 to max_step_counter_value;
- step_counter_threshold_measurement : in integer range 0 to max_step_counter_value;
- photon_counter_threshold_initialization : in integer range 0 to max_photon_counter_value;
- photon_counter_threshold_measurement : in integer range 0 to max_photon_counter_value;
- photon_counter_window_offset_initialization : in integer range 0 to max_photon_counter_window_pulse_value;
- photon_counter_window_length_initialization : in integer range 0 to max_photon_counter_window_pulse_value;
- photon_counter_window_offset_measurement : in integer range 0 to max_photon_counter_window_pulse_value;
- photon_counter_window_length_measurement : in integer range 0 to max_photon_counter_window_pulse_value;
- laser_1_offset1_initialization : in integer range 0 to max_step_counter_value;
- laser_1_length1_initialization : in integer range 0 to max_step_counter_value;
- laser_1_offset2_initialization : in integer range 0 to max_step_counter_value;
- laser_1_length2_initialization : in integer range 0 to max_step_counter_value;
- laser_1_offset1_measurement : in integer range 0 to max_step_counter_value;
- laser_1_length1_measurement : in integer range 0 to max_step_counter_value;
- laser_1_offset2_measurement : in integer range 0 to max_step_counter_value;
- laser_1_length2_measurement : in integer range 0 to max_step_counter_value;
- laser_2_offset1_initialization : in integer range 0 to max_step_counter_value;
- laser_2_length1_initialization : in integer range 0 to max_step_counter_value;
- laser_2_offset2_initialization : in integer range 0 to max_step_counter_value;
- laser_2_length2_initialization : in integer range 0 to max_step_counter_value;
- laser_2_offset1_measurement : in integer range 0 to max_step_counter_value;
- laser_2_length1_measurement : in integer range 0 to max_step_counter_value;
- laser_2_offset2_measurement : in integer range 0 to max_step_counter_value;
- laser_2_length2_measurement : in integer range 0 to max_step_counter_value;
- laser_3_offset1_initialization : in integer range 0 to max_step_counter_value;
- laser_3_length1_initialization : in integer range 0 to max_step_counter_value;
- laser_3_offset2_initialization : in integer range 0 to max_step_counter_value;
- laser_3_length2_initialization : in integer range 0 to max_step_counter_value;
- laser_3_offset1_measurement : in integer range 0 to max_step_counter_value;
- laser_3_length1_measurement : in integer range 0 to max_step_counter_value;
- laser_3_offset2_measurement : in integer range 0 to max_step_counter_value;
- laser_3_length2_measurement : in integer range 0 to max_step_counter_value;
- laser_4_offset1_initialization : in integer range 0 to max_step_counter_value;
- laser_4_length1_initialization : in integer range 0 to max_step_counter_value;
- laser_4_offset2_initialization : in integer range 0 to max_step_counter_value;
- laser_4_length2_initialization : in integer range 0 to max_step_counter_value;
- laser_4_offset1_measurement : in integer range 0 to max_step_counter_value;
- laser_4_length1_measurement : in integer range 0 to max_step_counter_value;
- laser_4_offset2_measurement : in integer range 0 to max_step_counter_value;
- laser_4_length2_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_1_offset1_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_1_length1_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_1_offset2_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_1_length2_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_1_offset1_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_1_length1_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_1_offset2_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_1_length2_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_2_offset1_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_2_length1_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_2_offset2_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_2_length2_initialization : in integer range 0 to max_step_counter_value;
- htm_dac_2_offset1_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_2_length1_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_2_offset2_measurement : in integer range 0 to max_step_counter_value;
- htm_dac_2_length2_measurement : in integer range 0 to max_step_counter_value;
- ni_gate_offset1_initialization : in integer range 0 to max_step_counter_value;
- ni_gate_length1_initialization : in integer range 0 to max_step_counter_value;
- ni_gate_offset2_initialization : in integer range 0 to max_step_counter_value;
- ni_gate_length2_initialization : in integer range 0 to max_step_counter_value;
- ni_gate_offset1_measurement : in integer range 0 to max_step_counter_value;
- ni_gate_length1_measurement : in integer range 0 to max_step_counter_value;
- ni_gate_offset2_measurement : in integer range 0 to max_step_counter_value;
- ni_gate_length2_measurement : in integer range 0 to max_step_counter_value;
- start : in std_logic;
- measurement_mode : in t_measurement;
- trigger_from_awg : in std_logic;
- trigger_from_apd : in std_logic;
- flag_start_experiment : out std_logic;
- flag_hard_limit : out std_logic;
- flag_nv_minus : out std_logic;
- trigger_to_awg : out std_logic;
- pulse_laser_1 : out std_logic;
- pulse_laser_2 : out std_logic;
- pulse_laser_3 : out std_logic;
- pulse_laser_4 : out std_logic;
- pulse_htm_dac_1 : out std_logic;
- pulse_htm_dac_2 : out std_logic;
- pulse_ni_gate : out std_logic
- );
- END ENTITY experiment_module;
- ARCHITECTURE behavioural OF experiment_module IS
- component state_machine
- PORT(
- rst : in std_logic;
- clk : in std_logic;
- start : in std_logic;
- max_experiment_repetition_count_reached : in std_logic;
- max_step_count_reached : in std_logic;
- max_initialization_repetition_count_reached : in std_logic;
- max_photon_count_reached : in std_logic;
- trigger_from_awg : in std_logic;
- measurement_mode : in t_measurement;
- rst_experiment_repetition_counter : out std_logic;
- rst_step_counter : out std_logic;
- rst_initialization_repetition_counter : out std_logic;
- rst_photon_counter : out std_logic;
- flag_start_experiment : out std_logic;
- flag_hard_limit : out std_logic;
- flag_nv_minus : out std_logic;
- inc_experiment_repetition_counter : out std_logic;
- inc_step_counter : out std_logic;
- inc_initialization_repetition_counter : out std_logic;
- trigger_to_awg : out std_logic;
- current_state : out t_state
- );
- end component;
- component counter
- generic (
- max_counter_value : integer
- );
- PORT(
- rst : in std_logic;
- clk : in std_logic;
- threshold : in integer range 0 to max_counter_value;
- increment : in std_logic;
- clear : in std_logic;
- pulse_output : in std_logic;
- counter_value : out integer range 0 to max_counter_value;
- threshold_reached : out std_logic
- );
- end component;
- component multiplexer_counter_state
- generic (
- max_counter_value : integer
- );
- PORT(
- current_state : in t_state;
- threshold_initialization : in integer range 0 to max_counter_value;
- threshold_measurement : in integer range 0 to max_counter_value;
- threshold : out integer range 0 to max_counter_value
- );
- end component;
- component pulser_solo
- generic (
- max_step_value : integer
- );
- PORT(
- rst : in std_logic;
- clk : in std_logic;
- clear : in std_logic;
- current_step : in integer range 0 to max_step_value;
- offset : in integer range 0 to max_step_value;
- pulse_length : in integer range 0 to max_step_value;
- output : out std_logic
- );
- end component;
- component pulser_duo
- generic (
- max_step_value : integer
- );
- PORT(
- rst : in std_logic;
- clk : in std_logic;
- clear : in std_logic;
- current_step : in integer range 0 to max_step_value;
- offset1 : in integer range 0 to max_step_value;
- pulse_length1 : in integer range 0 to max_step_value;
- offset2 : in integer range 0 to max_step_value;
- pulse_length2 : in integer range 0 to max_step_value;
- output : out std_logic
- );
- end component;
- component multiplexer_pulser_solo_state
- generic (
- max_step_value : integer
- );
- PORT(
- current_state : in t_state;
- offset_initialization : in integer range 0 to max_step_value;
- length_initialization : in integer range 0 to max_step_value;
- offset_measurement : in integer range 0 to max_step_value;
- length_measurement : in integer range 0 to max_step_value;
- offset : out integer range 0 to max_step_value;
- len : out integer range 0 to max_step_value
- );
- end component;
- component multiplexer_pulser_duo_state
- generic (
- max_step_value : integer
- );
- PORT(
- current_state : in t_state;
- offset1_initialization : in integer range 0 to max_step_value;
- length1_initialization : in integer range 0 to max_step_value;
- offset2_initialization : in integer range 0 to max_step_value;
- length2_initialization : in integer range 0 to max_step_value;
- offset1_measurement : in integer range 0 to max_step_value;
- length1_measurement : in integer range 0 to max_step_value;
- offset2_measurement : in integer range 0 to max_step_value;
- length2_measurement : in integer range 0 to max_step_value;
- offset1 : out integer range 0 to max_step_value;
- len1 : out integer range 0 to max_step_value;
- offset2 : out integer range 0 to max_step_value;
- len2 : out integer range 0 to max_step_value
- );
- end component;
- signal current_state : t_state;
- signal rst_experiment_repetition_counter, rst_photon_counter,
- rst_initialization_repetition_counter, rst_step_counter : std_logic;
- signal inc_experiment_repetition_counter, inc_initialization_repetition_counter,
- inc_step_counter , inc_photon_counter: std_logic;
- signal max_experiment_repetition_count_reached, max_photon_count_reached,
- max_initialization_repetition_count_reached, max_step_count_reached : std_logic;
- signal step_counter_threshold, current_step_count : integer range 0 to max_step_counter_value;
- signal photon_counter_threshold : integer range 0 to max_photon_counter_value;
- signal photon_counter_window_offset : integer range 0 to max_photon_counter_window_pulse_value;
- signal photon_counter_window_length : integer range 0 to max_photon_counter_window_pulse_value;
- signal enable_photon_counter : std_logic;
- signal laser_1_offset1, laser_1_length1, laser_1_offset2, laser_1_length2 : integer range 0 to max_step_counter_value;
- signal laser_2_offset1, laser_2_length1, laser_2_offset2, laser_2_length2 : integer range 0 to max_step_counter_value;
- signal laser_3_offset1, laser_3_length1, laser_3_offset2, laser_3_length2 : integer range 0 to max_step_counter_value;
- signal laser_4_offset1, laser_4_length1, laser_4_offset2, laser_4_length2 : integer range 0 to max_step_counter_value;
- signal htm_dac_1_offset1, htm_dac_1_length1, htm_dac_1_offset2, htm_dac_1_length2 : integer range 0 to max_step_counter_value;
- signal htm_dac_2_offset1, htm_dac_2_length1, htm_dac_2_offset2, htm_dac_2_length2 : integer range 0 to max_step_counter_value;
- signal ni_gate_offset1, ni_gate_length1, ni_gate_offset2, ni_gate_length2 : integer range 0 to max_step_counter_value;
- begin
- inst_counter_experiment_repetition_counter : counter
- generic map (
- max_counter_value => max_experiment_repetition_counter_value)
- port map (
- rst => rst,
- clk => clk,
- threshold => experiment_repetition_counter_threshold,
- increment => inc_experiment_repetition_counter,
- clear => rst_experiment_repetition_counter,
- pulse_output => '0',
- counter_value => open,
- threshold_reached => max_experiment_repetition_count_reached);
- inst_counter_initialization_repetition_counter : counter
- generic map (
- max_counter_value => max_initialization_repetition_counter_value)
- port map (
- rst => rst,
- clk => clk,
- threshold => initialization_repetition_counter_threshold,
- increment => inc_initialization_repetition_counter,
- clear => rst_initialization_repetition_counter,
- pulse_output => '0',
- counter_value => open,
- threshold_reached => max_initialization_repetition_count_reached);
- inst_multiplexer_counter_state_step_counter : multiplexer_counter_state
- generic map (
- max_counter_value => max_step_counter_value
- )
- port map (
- current_state => current_state,
- threshold_initialization => step_counter_threshold_initialization,
- threshold_measurement => step_counter_threshold_measurement,
- threshold => step_counter_threshold);
- inst_counter_step_counter : counter
- generic map (
- max_counter_value => max_step_counter_value)
- port map (
- rst => rst,
- clk => clk,
- threshold => step_counter_threshold,
- increment => inc_step_counter,
- clear => rst_step_counter,
- pulse_output => '1',
- counter_value => current_step_count,
- threshold_reached => max_step_count_reached);
- inst_multiplexer_counter_state_photon_counter : multiplexer_counter_state
- generic map (
- max_counter_value => max_photon_counter_value
- )
- port map (
- current_state => current_state,
- threshold_initialization => photon_counter_threshold_initialization,
- threshold_measurement => photon_counter_threshold_measurement,
- threshold => photon_counter_threshold);
- inst_counter_photon_counter : counter
- generic map (
- max_counter_value => max_photon_counter_value)
- port map (
- rst => rst,
- clk => clk,
- threshold => photon_counter_threshold,
- increment => inc_photon_counter,
- clear => rst_photon_counter,
- pulse_output => '1',
- counter_value => open,
- threshold_reached => max_photon_count_reached);
- inst_multiplexer_pulser_solo_state_photon_counter_window : multiplexer_pulser_solo_state
- generic map (
- max_step_value => max_photon_counter_window_pulse_value)
- port map (
- current_state => current_state,
- offset_initialization => photon_counter_window_offset_initialization,
- length_initialization => photon_counter_window_length_initialization,
- offset_measurement => photon_counter_window_offset_measurement,
- length_measurement => photon_counter_window_length_measurement,
- offset => photon_counter_window_offset,
- len => photon_counter_window_length);
- inst_pulser_solo_photon_counter_window : pulser_solo
- generic map (
- max_step_value => max_photon_counter_window_pulse_value
- )
- port map (
- rst => rst,
- clk => clk,
- clear => '0',
- current_step => current_step_count,
- offset => photon_counter_window_offset,
- pulse_length => photon_counter_window_length,
- output => enable_photon_counter);
- inc_photon_counter <= enable_photon_counter and trigger_from_apd;
- inst_state_machine : state_machine port map(
- rst => rst,
- clk => clk,
- start => start,
- max_experiment_repetition_count_reached => max_experiment_repetition_count_reached,
- max_step_count_reached => max_step_count_reached,
- max_initialization_repetition_count_reached => max_initialization_repetition_count_reached,
- max_photon_count_reached => max_photon_count_reached,
- trigger_from_awg => trigger_from_awg,
- measurement_mode => measurement_mode,
- rst_experiment_repetition_counter => rst_experiment_repetition_counter,
- rst_step_counter => rst_step_counter,
- rst_initialization_repetition_counter => rst_initialization_repetition_counter,
- rst_photon_counter => rst_photon_counter,
- flag_start_experiment => flag_start_experiment,
- flag_hard_limit => flag_hard_limit,
- flag_nv_minus => flag_nv_minus,
- inc_experiment_repetition_counter => inc_experiment_repetition_counter,
- inc_step_counter => inc_step_counter,
- inc_initialization_repetition_counter => inc_initialization_repetition_counter,
- trigger_to_awg => trigger_to_awg,
- current_state => current_state);
- inst_multiplexer_pulser_duo_state_laser_1 : multiplexer_pulser_duo_state
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- current_state => current_state,
- offset1_initialization => laser_1_offset1_initialization,
- length1_initialization => laser_1_length1_initialization,
- offset2_initialization => laser_1_offset2_initialization,
- length2_initialization => laser_1_length2_initialization,
- offset1_measurement => laser_1_offset1_measurement,
- length1_measurement => laser_1_length1_measurement,
- offset2_measurement => laser_1_offset2_measurement,
- length2_measurement => laser_1_length2_measurement,
- offset1 => laser_1_offset1,
- len1 => laser_1_length1,
- offset2 => laser_1_offset2,
- len2 => laser_1_length2
- );
- inst_pulser_duo_laser_1 : pulser_duo
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- rst => rst,
- clk => clk,
- clear => '0',
- current_step => current_step_count,
- offset1 => laser_1_offset1,
- pulse_length1 => laser_1_length1,
- offset2 => laser_1_offset2,
- pulse_length2 => laser_1_length2,
- output => pulse_laser_1);
- inst_multiplexer_pulser_duo_state_laser_2 : multiplexer_pulser_duo_state
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- current_state => current_state,
- offset1_initialization => laser_2_offset1_initialization,
- length1_initialization => laser_2_length1_initialization,
- offset2_initialization => laser_2_offset2_initialization,
- length2_initialization => laser_2_length2_initialization,
- offset1_measurement => laser_2_offset1_measurement,
- length1_measurement => laser_2_length1_measurement,
- offset2_measurement => laser_2_offset2_measurement,
- length2_measurement => laser_2_length2_measurement,
- offset1 => laser_2_offset1,
- len1 => laser_2_length1,
- offset2 => laser_2_offset2,
- len2 => laser_2_length2
- );
- inst_pulser_duo_laser_2 : pulser_duo
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- rst => rst,
- clk => clk,
- clear => '0',
- current_step => current_step_count,
- offset1 => laser_2_offset1,
- pulse_length1 => laser_2_length1,
- offset2 => laser_2_offset2,
- pulse_length2 => laser_2_length2,
- output => pulse_laser_2);
- inst_multiplexer_pulser_duo_state_laser_3 : multiplexer_pulser_duo_state
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- current_state => current_state,
- offset1_initialization => laser_3_offset1_initialization,
- length1_initialization => laser_3_length1_initialization,
- offset2_initialization => laser_3_offset2_initialization,
- length2_initialization => laser_3_length2_initialization,
- offset1_measurement => laser_3_offset1_measurement,
- length1_measurement => laser_3_length1_measurement,
- offset2_measurement => laser_3_offset2_measurement,
- length2_measurement => laser_3_length2_measurement,
- offset1 => laser_3_offset1,
- len1 => laser_3_length1,
- offset2 => laser_3_offset2,
- len2 => laser_3_length2
- );
- inst_pulser_duo_laser_3 : pulser_duo
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- rst => rst,
- clk => clk,
- clear => '0',
- current_step => current_step_count,
- offset1 => laser_3_offset1,
- pulse_length1 => laser_3_length1,
- offset2 => laser_3_offset2,
- pulse_length2 => laser_3_length2,
- output => pulse_laser_3);
- inst_multiplexer_pulser_duo_state_laser_4 : multiplexer_pulser_duo_state
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- current_state => current_state,
- offset1_initialization => laser_4_offset1_initialization,
- length1_initialization => laser_4_length1_initialization,
- offset2_initialization => laser_4_offset2_initialization,
- length2_initialization => laser_4_length2_initialization,
- offset1_measurement => laser_4_offset1_measurement,
- length1_measurement => laser_4_length1_measurement,
- offset2_measurement => laser_4_offset2_measurement,
- length2_measurement => laser_4_length2_measurement,
- offset1 => laser_4_offset1,
- len1 => laser_4_length1,
- offset2 => laser_4_offset2,
- len2 => laser_4_length2
- );
- inst_pulser_duo_laser_4 : pulser_duo
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- rst => rst,
- clk => clk,
- clear => '0',
- current_step => current_step_count,
- offset1 => laser_4_offset1,
- pulse_length1 => laser_4_length1,
- offset2 => laser_4_offset2,
- pulse_length2 => laser_4_length2,
- output => pulse_laser_4);
- inst_multiplexer_pulser_duo_state_htm_dac_1 : multiplexer_pulser_duo_state
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- current_state => current_state,
- offset1_initialization => htm_dac_1_offset1_initialization,
- length1_initialization => htm_dac_1_length1_initialization,
- offset2_initialization => htm_dac_1_offset2_initialization,
- length2_initialization => htm_dac_1_length2_initialization,
- offset1_measurement => htm_dac_1_offset1_measurement,
- length1_measurement => htm_dac_1_length1_measurement,
- offset2_measurement => htm_dac_1_offset2_measurement,
- length2_measurement => htm_dac_1_length2_measurement,
- offset1 => htm_dac_1_offset1,
- len1 => htm_dac_1_length1,
- offset2 => htm_dac_1_offset2,
- len2 => htm_dac_1_length2
- );
- inst_pulser_duo_htm_dac_1: pulser_duo
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- rst => rst,
- clk => clk,
- clear => '0',
- current_step => current_step_count,
- offset1 => htm_dac_1_offset1,
- pulse_length1 => htm_dac_1_length1,
- offset2 => htm_dac_1_offset2,
- pulse_length2 => htm_dac_1_length2,
- output => pulse_htm_dac_1);
- inst_multiplexer_pulser_duo_state_htm_dac_2 : multiplexer_pulser_duo_state
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- current_state => current_state,
- offset1_initialization => htm_dac_2_offset1_initialization,
- length1_initialization => htm_dac_2_length1_initialization,
- offset2_initialization => htm_dac_2_offset2_initialization,
- length2_initialization => htm_dac_2_length2_initialization,
- offset1_measurement => htm_dac_2_offset1_measurement,
- length1_measurement => htm_dac_2_length1_measurement,
- offset2_measurement => htm_dac_2_offset2_measurement,
- length2_measurement => htm_dac_2_length2_measurement,
- offset1 => htm_dac_2_offset1,
- len1 => htm_dac_2_length1,
- offset2 => htm_dac_2_offset2,
- len2 => htm_dac_2_length2
- );
- inst_pulser_duo_htm_dac_2: pulser_duo
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- rst => rst,
- clk => clk,
- clear => '0',
- current_step => current_step_count,
- offset1 => htm_dac_2_offset1,
- pulse_length1 => htm_dac_2_length1,
- offset2 => htm_dac_2_offset2,
- pulse_length2 => htm_dac_2_length2,
- output => pulse_htm_dac_2);
- inst_multiplexer_pulser_duo_state_ni_gate : multiplexer_pulser_duo_state
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- current_state => current_state,
- offset1_initialization => ni_gate_offset1_initialization,
- length1_initialization => ni_gate_length1_initialization,
- offset2_initialization => ni_gate_offset2_initialization,
- length2_initialization => ni_gate_length2_initialization,
- offset1_measurement => ni_gate_offset1_measurement,
- length1_measurement => ni_gate_length1_measurement,
- offset2_measurement => ni_gate_offset2_measurement,
- length2_measurement => ni_gate_length2_measurement,
- offset1 => ni_gate_offset1,
- len1 => ni_gate_length1,
- offset2 => ni_gate_offset2,
- len2 => ni_gate_length2
- );
- inst_pulser_duo_ni_gate: pulser_duo
- generic map (
- max_step_value => max_step_counter_value)
- port map (
- rst => rst,
- clk => clk,
- clear => '0',
- current_step => current_step_count,
- offset1 => ni_gate_offset1,
- pulse_length1 => ni_gate_length1,
- offset2 => ni_gate_offset2,
- pulse_length2 => ni_gate_length2,
- output => pulse_ni_gate);
- -- process(rst, clk) is
- -- begin
- -- if rst = '1' then
- -- current_state <= s_setup;
- -- elsif rising_edge(clk) then
- -- current_state <= state_buff;
- -- end if;
- -- end process;
- end architecture behavioural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement