Advertisement
Guest User

Untitled

a guest
Jun 24th, 2017
54
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.35 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity TRAFFIC_LIGHT is port
  5. (
  6.     CW, EV_L: in std_logic;
  7.     Red, Yellow, Green: out std_logic;
  8.     State: out std_logic_vector(3 downto 0)
  9. );
  10. end TRAFFIC_LIGHT;
  11.  
  12. architecture logic of TRAFFIC_LIGHT is
  13. signal EV: std_logic;
  14. begin
  15.     EV <= EV_L;
  16.     -- comments go here
  17.     Green <= '1';
  18.    
  19.    
  20.    
  21.    
  22.    
  23. end logic;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement