Advertisement
fellpz

CL porta AND

Mar 10th, 2017
136
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.60 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.std_logic_1164.all; -- biblioteca do IEEE
  3.  
  4.  
  5.     entity Antonio_1 is port -- a entidade deve ter o mesmo nome do projeto criado
  6.         (
  7.             A : in std_logic; -- entrada digital A
  8.             B : in std_logic; -- entrada digital B
  9.             O : out std_logic -- saida digital O
  10.         );
  11.     end Antonio_1;
  12.  
  13.  
  14.         architecture hardware of Antonio_1 is -- eh descricao do circuito que nesse caso eh a porta 1
  15.  
  16.         begin -- inicia a descricao do programa
  17.                 -- o sinal de menor igual é uma atribuicao em VHDL
  18.             O <= A AND B; -- saida O recebe a operacao logica A com B
  19.         end hardware; -- final da implementacao
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement