Advertisement
fellpz

Flip Flop tipo D

Mar 17th, 2017
182
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.78 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.std_logic_1164.all; -- biblioteca do IEEE
  3.  
  4.  
  5.     entity ontoin1703 is port -- a entidade deve ter o mesmo nome do projeto criado
  6.         (
  7.             D : in std_logic; -- entrada digital A
  8.             clk : in std_logic; -- entrada digital B
  9.             Q : out std_logic; -- entrada digital C
  10.             Qn : out std_logic -- saida digital O
  11.         );
  12.     end ontoin1703;
  13.  
  14.  
  15.         architecture hardware of ontoin1703 is -- eh descricao do circuito que nesse caso eh a porta 1
  16.  
  17.         signal dado : std_logic;
  18.  
  19.         begin -- inicia a descricao do programa
  20.                
  21.             dado <= D when (clk = '1') else dado;
  22.            
  23.             Q <= dado;
  24.             Qn <= dado;
  25.        
  26.         end hardware; -- final da implementacao
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement