Advertisement
LucaSkywalker

PS2.ucf

Jan 10th, 2021 (edited)
1,207
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.35 KB | None | 0 0
  1. #Connected to Basys2 onBoard 7seg display
  2. NET "seg<6>" LOC = "L14"; # Bank = 1, Signal name = CA
  3. NET "seg<5>" LOC = "H12"; # Bank = 1, Signal name = CB
  4. NET "seg<4>" LOC = "N14"; # Bank = 1, Signal name = CC
  5. NET "seg<3>" LOC = "N11"; # Bank = 2, Signal name = CD
  6. NET "seg<2>" LOC = "P12"; # Bank = 2, Signal name = CE
  7. NET "seg<1>" LOC = "L13"; # Bank = 1, Signal name = CF
  8. NET "seg<0>" LOC = "M12"; # Bank = 1, Signal name = CG
  9.  
  10. NET "an<3>" LOC = "K14"; # Bank = 1, Signal name = AN3
  11. NET "an<2>" LOC = "M13"; # Bank = 1, Signal name = AN2
  12. NET "an<1>" LOC = "J12"; # Bank = 1, Signal name = AN1
  13. NET "an<0>" LOC = "F12"; # Bank = 1, Signal name = AN0
  14.  
  15. # Pin assignment for LEDs
  16. NET "LED<7>" LOC = "G1" ; # Bank = 3, Signal name = LD7
  17. NET "LED<6>" LOC = "P4" ; # Bank = 2, Signal name = LD6
  18. NET "LED<5>" LOC = "N4" ;  # Bank = 2, Signal name = LD5
  19. NET "LED<4>" LOC = "N5" ;  # Bank = 2, Signal name = LD4
  20. NET "LED<3>" LOC = "P6" ; # Bank = 2, Signal name = LD3
  21. NET "LED<2>" LOC = "P7" ; # Bank = 3, Signal name = LD2
  22. NET "LED<1>" LOC = "M11" ; # Bank = 2, Signal name = LD1
  23. NET "LED<0>" LOC = "M5" ;  # Bank = 2, Signal name = LD0
  24.  
  25. # Pin assignment for PS2
  26. NET "PS2C"    LOC = "B1"   | DRIVE = 2  | PULLUP ; # Bank = 3, Signal name = PS2C
  27. NET "PS2C" CLOCK_DEDICATED_ROUTE = FALSE;
  28. NET "PS2D"    LOC = "C3"   | DRIVE = 2  | PULLUP ; # Bank = 3, Signal name = PS2D
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement