Advertisement
voik3

Untitled

Jun 26th, 2019
246
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.48 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use IEEE.STD_LOGIC_ARITH.ALL;
  4. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  5.  
  6.  
  7.  
  8.  
  9. entity dzielnik is
  10.  
  11.     Port ( clock : in  STD_LOGIC;
  12.            wyj : out  STD_LOGIC);
  13. end dzielnik;
  14.  
  15. architecture Behavioral of dzielnik is
  16. signal liczenie : std_logic_vector(7 downto 0):="00000000";
  17. begin
  18.     process(clock)
  19.         begin
  20. if clock = '1' and clock'event then
  21.     liczenie <= liczenie+1;
  22.     end if;
  23.     end process;
  24.     wyj <= liczenie(7);
  25.  
  26. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement