salla

xnor_vetor_sinal

Jul 29th, 2019
157
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.32 KB | None | 0 0
  1. library IEEE;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity xnor_vetor_sinal is
  5. port (a: in std_logic_vector (3 downto 0);
  6.         saida: out std_logic);
  7. end xnor_vetor_sinal;
  8.  
  9. architecture murrinha of xnor_vetor_sinal is
  10. signal s: std_logic_vector (3 downto 0);
  11. begin
  12. s <= a xnor "1101";
  13. saida <= s(3) and s(2) and s(1) and s(0);
  14. end murrinha;
Add Comment
Please, Sign In to add comment