Guest User

Untitled

a guest
Sep 8th, 2018
104
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 3.34 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company:         LIRMM
  3. -- Engineer: ,     Afef BOUCHAALA  
  4. --
  5. -- Create Date:    11:16:06 04/20/2012
  6. -- Design Name:
  7. -- Module Name:    filtre_h3_fonction - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22.  
  23.  
  24. entity filtre_h3_fonction is
  25.        generic ( N : positive := 3;  
  26.                   m : positive :=  524288;
  27.                     address_length_in_sig : integer := 19);
  28.         port( add, reset_carte ,test,  clk  : in std_logic;
  29.                -- data                      : in std_logic_vector(31 downto 0);
  30.             test_result                 : out std_logic);              
  31. end filtre_h3_fonction;
  32.  
  33. architecture struct of filtre_h3_fonction is
  34.  
  35. component CONTROL_UNIT is
  36.  generic (  N : positive ;
  37.             m : positive ;
  38.                address_length_in_sig : integer );
  39.     Port ( reset, clk, add          : in  STD_LOGIC;
  40.            test                     : in  STD_LOGIC;
  41.              data                   : in  std_logic_vector (31 downto 0);
  42.            filter_ram_out           : in  std_logic_vector ( 0 downto 0);
  43.              test_result                : out std_logic;           
  44.            filtre_ram_enable        : out std_logic;
  45.            filtre_ram_write_enable  : out std_logic_vector (0 downto 0);
  46.            filtre_ram_data          : out std_logic_vector (0 downto 0);
  47.            filtre_ram_address       : out std_logic_vector ((address_length_in_sig -1) downto 0) );
  48. end component;  
  49.  
  50.  
  51.  
  52.   COMPONENT filtre_ram
  53.   PORT (
  54.         clka    : IN STD_LOGIC;
  55.         rsta    : IN STD_LOGIC;
  56.         ena     : IN STD_LOGIC;
  57.         wea     : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
  58.         addra : IN STD_LOGIC_VECTOR((address_length_in_sig -1) DOWNTO 0);
  59.         dina    : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
  60.         douta : OUT STD_LOGIC_VECTOR(0 DOWNTO 0));
  61.     END COMPONENT;
  62.  
  63.  signal reset : std_logic;
  64.  signal filtre_ram_enable_sig:  std_logic;
  65.  signal filtre_ram_write_enable_sig :  std_logic_vector (0 downto 0);
  66.  signal filtre_ram_data_sig:  std_logic_vector (0 downto 0) ;  
  67.  signal filtre_ram_address_sig : std_logic_vector((address_length_in_sig - 1)downto 0) ;
  68.  signal filter_ram_out_sig : std_logic_vector (0 downto 0);
  69.  signal data : std_logic_vector (31 downto 0);
  70.  
  71.  begin
  72.  
  73.  data <= "01001000000010010101101011010101";  
  74.  reset <=  not (reset_carte) ;
  75.    
  76.  CU : control_unit
  77.  generic map ( N                        => N,
  78.                m                        => m,
  79.                address_length_in_sig    => address_length_in_sig )
  80.  port map ( clk                     => clk ,
  81.             reset                   => reset ,
  82.             test                       => test,  
  83.             add                        => add,
  84.             data                       => data,
  85.             filtre_ram_enable       => filtre_ram_enable_sig,
  86.          filtre_ram_write_enable => filtre_ram_write_enable_sig,
  87.          filtre_ram_data        => filtre_ram_data_sig,
  88.             filtre_ram_address  => filtre_ram_address_sig,
  89.             test_result             => test_result,
  90.             filter_ram_out      => filter_ram_out_sig );
  91.  
  92. fr: filtre_ram  
  93. port map (clka  => clk ,
  94.           rsta      => reset,
  95.           wea       => filtre_ram_write_enable_sig,
  96.           addra     => filtre_ram_address_sig,
  97.         dina        => filtre_ram_data_sig,
  98.           douta     => filter_ram_out_sig,  
  99.           ena       => filtre_ram_enable_sig
  100.           );
  101.  
  102.  
  103. end struct;
Add Comment
Please, Sign In to add comment