Advertisement
salla

flipflop_D

Jul 29th, 2019
548
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.30 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity flipflop is
  5. port (d, clk: in STD_LOGIC;
  6.       q: out  STD_LOGIC);
  7. end flipflop;
  8.  
  9. architecture behavioral of flipflop is  
  10. begin
  11.     process (clk)
  12.     begin
  13.         if clk'event and clk = '1' then
  14.         q <= d;
  15.         end if ;
  16.     end process;
  17. end behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement