Advertisement
kasperhesse

4bitripplecarryadder.vhd

Oct 17th, 2018
109
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.86 KB | None | 0 0
  1. --Design
  2. library ieee;
  3. use ieee.std_logic_1164.all;
  4.  
  5. entity fourbitadder is
  6.     port(x,y: in std_logic_vector(3 downto 0);
  7.            s: out std_logic_vector(4 downto 0));
  8. end fourbitadder;
  9.  
  10.  
  11. architecture boole of fourbitadder is
  12.    
  13.     --De carry out, der bliver sendt mellem hver adder
  14.     signal m: std_logic_vector(4 downto 0);
  15.  
  16. component fulladder is
  17.     port(a, b, c: in std_logic;
  18.         s: out std_logic;
  19.         t: out std_logic);
  20. end component;
  21.  
  22. --Interface fra fulladder til fulladder
  23. begin
  24.     m(0)<='0';
  25.         bcount1: fulladder port map (a=>x(0), b=>y(0), c=>m(0), t=>m(1), s=>s(0)
  26.     );
  27.         bcount2: fulladder port map (a=>x(1), b=>y(1), c=>m(1), t=>m(2), s=>s(1)
  28.     );
  29.     bcount3: fulladder port map (a=>x(2), b=>y(2), c=>m(2), t=>m(3), s=>s(2)
  30.     );
  31.     bcount4: fulladder port map (a=>x(3), b=>y(3), c=>m(3), t=>s(4), s=>s(3)
  32.     );
  33.    
  34.  
  35. end boole;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement