Advertisement
Guest User

Untitled

a guest
May 17th, 2019
81
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.30 KB | None | 0 0
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.all;
  3.  
  4. ENTITY Lab7 IS
  5.     PORT (
  6.         SW : in STD_LOGIC_VECTOR(1 downto 0);
  7.         LEDR : buffer STD_LOGIC_VECTOR(1 downto 0));
  8. END Lab7;
  9.  
  10. ARCHITECTURE Structure OF Lab7 IS
  11. BEGIN
  12.     LEDR(0) <= NOT(SW(0) and LEDR(1));
  13.     LEDR(1) <= NOT(SW(1) and LEDR(0));
  14. END Structure;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement