Advertisement
milanmetal

[VHDL Tricks 2K18]

Nov 21st, 2018
162
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.17 KB | None | 0 0
  1. -- https://stackoverflow.com/questions/854684/why-cant-i-increment-this-std-logic-vector
  2. use ieee.numeric_std.all
  3. ...
  4. nextvalue <= std_logic_vector( unsigned(value) + 1 );
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement