Advertisement
voik3

Untitled

Jun 26th, 2019
265
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 2.69 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use IEEE.STD_LOGIC_ARITH.ALL;
  4. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  5.  
  6. entity mux16 is
  7.     Port ( p : in  STD_LOGIC_VECTOR(3 downto 0);
  8.            r : in  STD_LOGIC_VECTOR(3 downto 0);
  9.            o : in  STD_LOGIC_VECTOR(3 downto 0);
  10.            s : in  STD_LOGIC_VECTOR(3 downto 0);
  11.            t : in  STD_LOGIC_VECTOR(3 downto 0);
  12.            e1 : in  STD_LOGIC_VECTOR(3 downto 0);
  13.            spacja0 : in  STD_LOGIC_VECTOR(3 downto 0);
  14.            z : in  STD_LOGIC_VECTOR(3 downto 0);
  15.            a1 : in  STD_LOGIC_VECTOR(3 downto 0);
  16.            d : in  STD_LOGIC_VECTOR(3 downto 0);
  17.            a2 : in  STD_LOGIC_VECTOR(3 downto 0);
  18.            n : in  STD_LOGIC_VECTOR(3 downto 0);
  19.            i : in  STD_LOGIC_VECTOR(3 downto 0);
  20.            e2 : in  STD_LOGIC_VECTOR(3 downto 0);
  21.            spacja1 : in  STD_LOGIC_VECTOR(3 downto 0);
  22.            spacja2 : in  STD_LOGIC_VECTOR(3 downto 0);
  23.            wyj_1 : out  STD_LOGIC_VECTOR(3 downto 0);
  24.               wyj_2 : out  STD_LOGIC_VECTOR(3 downto 0);
  25.               wyj_3 : out  STD_LOGIC_VECTOR(3 downto 0);
  26.               wyj_4 : out  STD_LOGIC_VECTOR(3 downto 0);             
  27.            wej : in  STD_LOGIC_VECTOR(3 downto 0));
  28. end mux16;
  29.  
  30. architecture Behavioral of mux16 is
  31.  
  32. begin
  33. with wej select
  34. wyj_1 <=    p when "0000",
  35.             r when "0001",
  36.             o when "0010",
  37.             s when "0011",
  38.             t when "0100",
  39.             e1 when "0101",
  40.             spacja0 when "0110",
  41.             z when "0111",
  42.             a1 when "1000",
  43.             d when "1001",
  44.             a2 when "1010",
  45.             n when "1011",
  46.             i when "1100",
  47.             e2 when "1101",
  48.             spacja1 when "1110",
  49.             spacja2 when others ;
  50.  
  51. with wej select            
  52. wyj_2 <=    r when "0000",
  53.             o when "0001",
  54.             s when "0010",
  55.             t when "0011",
  56.             e1 when "0100",
  57.             spacja0 when "0101",
  58.             z when "0110",
  59.             a1 when "0111",
  60.             d when "1000",
  61.             a2 when "1001",
  62.             n when "1010",
  63.             i when "1011",
  64.             e2 when "1100",
  65.             spacja1 when "1101",
  66.             spacja2 when "1110",
  67.             p when others ;
  68.  
  69. with wej select        
  70. wyj_3 <=    o when "0000",
  71.             s when "0001",
  72.             t when "0010",
  73.             e1 when "0011",
  74.             spacja0 when "0100",
  75.             z when "0101",
  76.             a1 when "0110",
  77.             d when "0111",
  78.             a2 when "1000",
  79.             n when "1001",
  80.             i when "1010",
  81.             e2 when "1011",
  82.             spacja1 when "1100",
  83.             spacja2 when "1101",
  84.             p when "1110",
  85.             r when others ;
  86.  
  87. with wej select            
  88. wyj_4 <=    s when "0000",
  89.             t when "0001"
  90.             e1 when "0010",
  91.             spacja0 when "0011",
  92.             z when "0100",
  93.             a1 when "0101",
  94.             d when "0110",
  95.             a2 when "0111",
  96.             n when "1000",
  97.             i when "1001",
  98.             e2 when "1010",
  99.             spacja1 when "1011",
  100.             spacja2 when "1100",
  101.             p when "1101",
  102.             r when "1110",
  103.             o when others ;
  104.  
  105.  
  106. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement