Advertisement
Guest User

Untitled

a guest
Dec 11th, 2017
55
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.41 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. entity SIPO_main is
  5.     Port ( CLK : in STD_LOGIC;
  6.            S : in STD_LOGIC;
  7.            Q : inout STD_LOGIC_VECTOR (3 downto 0));
  8. end SIPO_main;
  9.  
  10. architecture Behavioral of SIPO_main is
  11.  
  12. begin
  13. process (CLK)
  14.     begin
  15.     if (rising_edge(CLK))then
  16.         Q(3 downto 1) <= Q(2 downto 0);
  17.         Q(0) <= S;
  18.     end if;
  19. end process;
  20.  
  21. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement