Advertisement
Guest User

Untitled

a guest
May 16th, 2019
97
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.82 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. entity PS2_conv is
  5.     Port ( PS2DO : in  STD_LOGIC_VECTOR (7 downto 0);
  6.            PS2E0 : in  STD_LOGIC;
  7.            PS2F0 : in  STD_LOGIC;
  8.            PS2DO_Rdy : in  STD_LOGIC;
  9.            freq: out STD_LOGIC_VECTOR (3 downto 0);
  10.            button : out  STD_LOGIC );
  11. end PS2_conv;
  12.  
  13. architecture Behavioral of PS2_conv is
  14.  
  15. begin
  16.    with PS2DO & PS2E0 & PS2F0 select
  17.       freq <=     "0000" when X"15" & "00", -- Q // C
  18.                   "0001" when X"1E" & "00", -- 2 // C#
  19.                   "0010" when X"1D" & "00", -- W // D
  20.                   "0011" when X"26" & "00", -- 3 // D#
  21.                   "0100" when X"24" & "00", -- E // E
  22.                   "0101" when X"2D" & "00", -- R // F
  23.                   "0110" when X"2E" & "00", -- 5 // F#
  24.                   "0111" when X"2C" & "00", -- T // G
  25.                   "1000" when X"36" & "00", -- 6 // G#
  26.                   "1001" when X"35" & "00", -- Y // A
  27.                   "1010" when X"3D" & "00", -- 7 // A#
  28.                   "1011" when X"3C" & "00", -- U // B
  29.                   "1111" when others;
  30.    
  31.    with PS2DO & PS2E0 & PS2F0 select
  32.       button <=   '1' when X"15" & "00", -- Q // C
  33.                   '1' when X"1E" & "00", -- 2 // C#
  34.                   '1' when X"1D" & "00", -- W // D
  35.                   '1' when X"26" & "00", -- 3 // D#
  36.                   '1' when X"24" & "00", -- E // E
  37.                   '1' when X"2D" & "00", -- R // F
  38.                   '1' when X"2E" & "00", -- 5 // F#
  39.                   '1' when X"2C" & "00", -- T // G
  40.                   '1' when X"36" & "00", -- 6 // G#
  41.                   '1' when X"35" & "00", -- Y // A
  42.                   '1' when X"3D" & "00", -- 7 // A#
  43.                   '1' when X"3C" & "00",
  44.                   '0' when others;
  45.                  
  46. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement