Advertisement
Guest User

Untitled

a guest
Apr 22nd, 2018
82
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.22 KB | None | 0 0
  1. entity guess_game is
  2. port(
  3.     inputs : in std_logic_vector(7 downto 0);
  4.     set : in std_logic; -- Set predefined value
  5.     show : in std_logic; -- Show predefined value
  6.     try : in std_logic; -- Evaluate guess
  7.     hex1 : out std_logic_vector(6 downto 0); -- 7-seg ones
  8.     hex10: out std_logic_vector(6 downto 0) -- 7-seg tens
  9. );
  10. end guess_game;
  11.  
  12. architecture tester of guess_game is
  13. signal s1 : std_logic_vector (7 downto 0); --guess_input (Secret)
  14. signal s2 : std_logic_vector (1 downto 0); --Compare_logic
  15. signal s3 : std_logic_vector (7 downto 0); --Mux_output
  16. signal s4, s5: std_logic_vector (6 downto 0); -- Display 1 og 2 output, og endelig output
  17.  
  18. begin
  19.  
  20. UT_latch: entity hej_latch port map (input => inputs, set => set, secret => s1);
  21. UT_compare: entity compare_logic port map (try => try, input => inputs, secret => s1, comp => s2);
  22. UT_mux2to1: entity mux_2to1 port map (bin => inputs, latch => s1, show => show, outbin => s3);
  23. UT_display1: entity segment_decoder port map (bin => s3 (3 downto 0), seg => s4);
  24. UT_display2: entity segment_decoder port map (bin => s3 (7 downto 4), seg => s5);
  25. UT_mux4to1: entity mux_4to1 port map (sseg => (s5 & s4), CL => s2, HEX(6 downto 0) => hex1, HEX(13 downto 7) => hex10);
  26. end tester;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement