Advertisement
Guest User

Untitled

a guest
Apr 22nd, 2018
66
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.47 KB | None | 0 0
  1. entity guess_gametester is
  2. port(
  3.     SW : in std_logic_vector(7 downto 0);
  4.     KEY : in std_logic_vector (3 downto 0); -- Set predefined value
  5.     HEX0 : out std_logic_vector(6 downto 0); -- 7-seg ones
  6.     HEX1: out std_logic_vector(6 downto 0) -- 7-seg tens
  7. );
  8. end guess_gametester;
  9.  
  10. architecture test_test of guess_gametester is
  11. begin
  12.  
  13. UTEST: entity guess_game port map (inputs => SW, set => KEY(0), show => KEY(1), try => KEY(2), hex1 => HEX0, hex10 => HEX1);
  14.  
  15. end test_test;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement