Advertisement
PedroNY

FF T

Aug 1st, 2019
441
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.32 KB | None | 0 0
  1. library IEEE;
  2.  
  3. use IEEE.std_logic_1164.all;
  4.  
  5. entity FF_T is
  6. port (    
  7.     T : IN  std_logic;
  8.     Q : OUT std_logic);
  9. end FF_T;
  10.  
  11. architecture architecture_FF_T of FF_T is
  12.    
  13. begin
  14.    
  15.     process (T) begin
  16.     if rising_edge(T) then
  17.         Q<= not Q;
  18.     end if;
  19.     end process;
  20.  
  21. end architecture_FF_T;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement